當(dāng)前位置:首頁 > 公眾號精選 > Techsugar
[導(dǎo)讀]我們正在經(jīng)歷一場偉大的汽車革命,這次顛覆性變革將帶來可再生能源、電動化、網(wǎng)聯(lián)化、智能化、共享化的高度融合,中國汽車行業(yè)迎來百年難得的發(fā)展窗口期,新能源充電樁納入新基建,成為國家基礎(chǔ)設(shè)施建設(shè)的重點。汽車電子助推“新四化”趨勢加速到來,同時,新的產(chǎn)業(yè)變革正給汽車電子帶來新的機遇與挑戰(zhàn)!

我們正在經(jīng)歷一場偉大的汽車革命,這次顛覆性變革將帶來可再生能源、電動化、網(wǎng)聯(lián)化、智能化、共享化的高度融合,中國汽車行業(yè)迎來百年難得的發(fā)展窗口期,新能源充電樁納入新基建,成為國家基礎(chǔ)設(shè)施建設(shè)的重點。汽車電子助推“新四化”趨勢加速到來,同時,新的產(chǎn)業(yè)變革正給汽車電子帶來新的機遇與挑戰(zhàn)!

2020年3月初,中央部署加快新型基礎(chǔ)設(shè)施建設(shè)進度,新能源汽車充電樁迎來重大利好,成為新基建重點之一。新能源汽車充電樁作為新基建板塊,最早出現(xiàn)在2018年經(jīng)濟工作會議中。在十三五期間,國家已全面推廣發(fā)展新能源產(chǎn)業(yè),其中就包括實現(xiàn)新能源汽車規(guī)模應(yīng)用和加速構(gòu)建規(guī)范邊界的基礎(chǔ)設(shè)施體系/充電基礎(chǔ)設(shè)施體系。一段時間以來,國家持續(xù)引導(dǎo)和主推新能源產(chǎn)業(yè)發(fā)展。

發(fā)展新能源汽車是我國從汽車大國走向汽車強國的必由之路,推進充電基礎(chǔ)設(shè)施建設(shè)是落實這一戰(zhàn)略的有力保障。2015年至2019年我國充電樁保有量從6.6萬臺增加到121.9萬臺,同期新能源汽車保有量從42萬輛增加到381萬輛,對應(yīng)車樁比從2015年6.4:1下降到2019年3.1:1,充電配套有所改善。然而,從支持我國新能源汽車行業(yè)高速發(fā)展的要求來看,充電樁配套尚顯不足。


數(shù)據(jù)來源:澤平宏觀、中國充電聯(lián)盟、公安部、恒大研究院


按照“新基建”的規(guī)劃,2020年將計劃新增集中式充換電站超過1.2萬座,分散式充電樁超過480萬個,到2025年建設(shè)超過3.6萬個充換電站,全國車樁比將達到1比1。賽迪顧問公司發(fā)布的研究報告顯示,根據(jù)車樁比1∶1的建設(shè)目標,未來10年,中國新建充電樁將達到6300萬根,將形成超1萬億元的充電樁基礎(chǔ)設(shè)施建設(shè)市場。


國務(wù)院發(fā)展研究中心市場經(jīng)濟研究所副所長王青表示,“新基建”推進新能源充電樁的建設(shè),這將大幅改善新能源汽車在使用過程中的便利性。從某種程度上而言,這將成為我國新能源汽車市場增長的重要支撐力量。汽車是國家的重要支柱性產(chǎn)業(yè),新能源汽車作為我國彎道超車的一個策略,不僅自身發(fā)展迅速,現(xiàn)在看來,對能源產(chǎn)業(yè)也將帶來革新的機會。新能源汽車、新能源充電樁兩者之間是共同促進和聯(lián)動發(fā)展的關(guān)系。


新基建將新能源充電樁建設(shè)作為七大發(fā)展領(lǐng)域之一,對于新能源汽車和充電樁企業(yè)來說都是重大利好??梢钥隙ǖ氖?,接下去5-10年,中國將迎來新能源汽車、新能源充電樁的大發(fā)展時期。


數(shù)據(jù)來源:蓋世汽車



中國新能源汽車市場將帶來半導(dǎo)體新機遇


中國高度重視新能源汽車發(fā)展,向燃油車說“再見”的時間或許比大多數(shù)人預(yù)期來得更快。隨著汽車行業(yè)對“新四化”(電動化、網(wǎng)聯(lián)化、智能化、共享化)的加速投入研發(fā),中國的汽車工業(yè)正經(jīng)歷著第三次造車浪潮。汽車行業(yè)正在深度探討5G、人工智能,智能網(wǎng)聯(lián)、汽車輕量化、新能源、安全與檢測等新型熱點話題。


中國工程院院士李德毅稱,現(xiàn)在智能化越來越重要,加上5G和道路的智能化,讓自動駕駛變得越來越可能。過去的自動駕駛可以說主要是單車智能,智能網(wǎng)聯(lián)則可以被認為是使能自動駕駛的生態(tài)環(huán)境?,F(xiàn)在,人車路三個要素結(jié)合起來,就是中國的自動駕駛和智能網(wǎng)聯(lián)的特色。


伴隨著智能網(wǎng)聯(lián)新能源汽車的發(fā)展,當(dāng)前汽車電子已經(jīng)進入新一輪技術(shù)革新周期,汽車電子滲透率及單車價值量都將會得到大幅提升,市場空間超萬億;國內(nèi)汽車市場作為全球汽車產(chǎn)業(yè)引擎,保有量迅速增長。國內(nèi)市場將在新一輪汽車電子化技術(shù)革命中將扮演重要角色,并助力國內(nèi)產(chǎn)業(yè)鏈相關(guān)公司快速成長。


什么是汽車電子?


汽車電子是汽車電子控制系統(tǒng)與車載電子電器系統(tǒng)的總稱,其中汽車電子控制系統(tǒng)包括發(fā)動機電子系統(tǒng)、底盤電子系統(tǒng)、駕駛輔助系統(tǒng)系統(tǒng)與車身電子系統(tǒng),車載電子電器系統(tǒng)包括安全舒適系統(tǒng)及信息娛樂與網(wǎng)聯(lián)系統(tǒng),六大系統(tǒng)中以信息娛樂與網(wǎng)聯(lián)系統(tǒng)、自動駕駛系統(tǒng)技術(shù)迭代最為迅速,汽車電子化已然在引導(dǎo)現(xiàn)代汽車技術(shù)發(fā)展的又一次革命。


從市場角度來看新能源智能網(wǎng)聯(lián)汽車時代的半導(dǎo)體機遇,可以看到:


首先,新能源智能網(wǎng)聯(lián)汽車電子化程度會越來越高,汽車電子系統(tǒng)市場急劇增長。在2004年,僅有四分之一的出廠車輛內(nèi)置安全氣囊,而配有前裝電動座椅的車輛不足50%。如今,汽車行業(yè)的創(chuàng)新大多出現(xiàn)在電子系統(tǒng)而非機械層面。2007年到2017年期間,汽車電子成本占整車成本比例從約20%上升至40%左右,2030年預(yù)計達到近50%。


數(shù)據(jù)來源:IHS,德勤分析


其次,汽車半導(dǎo)體供應(yīng)商正獲益于為控制單元(MCU)、傳感器(Sensor)、存儲器(Memory)等各類半導(dǎo)體需求的大幅上漲。到2022年,半導(dǎo)體成本預(yù)計將達到每車近600美元。根據(jù)預(yù)測,未來幾年中國汽車主流芯片市場需求將分布在智能座艙、自動駕駛、T-Box和C-V2X、網(wǎng)關(guān)等應(yīng)用。



中國汽車半導(dǎo)體的現(xiàn)狀和挑戰(zhàn)


汽車行業(yè)對半導(dǎo)體廠商而言并非一個陌生的市場。事實上,許多廠商多年前就已進入了汽車領(lǐng)域。然而,由于客戶規(guī)模不足,當(dāng)時汽車市場并非半導(dǎo)體廠商的重要收益來源,而且流程驗證周期長,與消費電子相比銷量又很低。由于高級駕駛輔助系統(tǒng)、人工智能、數(shù)字互聯(lián)以及傳感器等汽車電子的需求不斷增加,市場氛圍越來越熱烈,汽車電子在整車設(shè)計中的重要性日益加強。


智能網(wǎng)聯(lián)和新能源汽車的快速發(fā)展推動著汽車芯片市場的格局重構(gòu),當(dāng)前全球領(lǐng)先的車用電子芯片廠商在各功能模塊均有布局。由于ADAS、自動駕駛、車聯(lián)網(wǎng)與車載娛樂服務(wù)系統(tǒng)均需要高性能的處理芯片,因此隨著汽車對于駕駛體驗和安全性能需求的快速提升,對應(yīng)的芯片需求不斷擴大,尤其是ADAS和新能源電動汽車領(lǐng)域的頭部芯片廠商參與者眾多。


雖然目前全球頭部汽車芯片廠商對于芯片的布局基本涉及全部的汽車模塊分類,但是由于汽車芯片較長的設(shè)計周期和較高的技術(shù)壁壘,恩智浦,英飛凌,瑞薩,德州儀器,意法半導(dǎo)體等高端市場供應(yīng)商能夠相對地專注于不同的產(chǎn)品和細分市場。


數(shù)據(jù)來源:智東西、中信證券


整個汽車芯片呈現(xiàn)國外巨頭壟斷的行業(yè)格局。隨著中美貿(mào)易摩擦不斷升級,對中國車企引入國外核心技術(shù)及核心零部件都增加了障礙。但時勢造英雄,嚴峻的形勢也為中國汽車行業(yè)提供了機會,勢必加速中國汽車芯片產(chǎn)業(yè)的發(fā)展,加快自主研發(fā)和創(chuàng)新的步伐。


雖然國內(nèi)汽車芯片公司面臨市場被巨頭壟斷、進入技術(shù)壁壘高、缺乏經(jīng)驗等種種困難,但也正奮發(fā)圖強。幾年前,在中國還找不出幾家做前裝汽車芯片的公司。而如今,突然如雨后春筍般涌現(xiàn)出二三十家,包括地平線、黑芝麻、芯馳等,其范圍涵蓋了輔助駕駛、中控、儀表盤、T-Box、網(wǎng)關(guān)、車身控制、電池管理、硬件加解密、激光雷達、毫米波雷達、圖像傳感器和圖像信號處理器等,八仙過海各顯神通。


值得關(guān)注的是,除了芯片設(shè)計公司正在積極進入汽車芯片開發(fā),一些主流車廠也加入到芯片開發(fā)陣營中。其中最吸引眼球的是特斯拉公司,早在2015年,特斯拉曾與Mobileye合作,采用其芯片EyeQ3。之后,特斯拉與另一芯片巨頭英偉達合作。而今,特斯拉生出了自研芯片的心思,并取得巨大成功。追隨特斯拉的腳步,國際整車廠豐田、寶馬、大眾、戴姆勒、福特等紛紛以股權(quán)投資、合作開發(fā)的方式投身汽車電子研發(fā)。


中國國內(nèi)比亞迪、上汽、吉利、一汽、東風(fēng)等也已具備前瞻性視野,以各種形式參與芯片研發(fā),擁抱汽車產(chǎn)業(yè)的全新革命——電動化、智能化、網(wǎng)聯(lián)化,走進軟件與硬件結(jié)合的時代,探索自己的未來。中國整車廠以雄厚財力、應(yīng)用場景等參與上游芯片設(shè)計領(lǐng)域,會更有利于推動中國本土車用芯片設(shè)計快速成長。


然而,做芯片難,做汽車芯片更難。汽車芯片無論對國外還是國內(nèi)芯片設(shè)計公司、無論對國際還是中國整車廠,都是比較難啃的一塊骨頭,那么到底難在哪里呢?總結(jié)為安全性和可靠性兩大難點。



ISO 26262


ISO 26262是汽車安全性國際標準,此標準主要定位在汽車中特定的電氣器件、電子設(shè)備、可編程電子器件等專門用于汽車領(lǐng)域的部件,旨在提高汽車電子、電氣產(chǎn)品的功能安全。


為什么汽車行業(yè)對ISO 26262如此重視?


這是因為每一輛生產(chǎn)出來的汽車上路之后都會融入我們的日常生活,一旦汽車上的軟硬件設(shè)備和系統(tǒng)發(fā)生失效或故障,都有可能危害到人類的生命與財產(chǎn)安全,后果可能相當(dāng)嚴重。為了讓人們對汽車安全相關(guān)功能有更好的理解,以及指導(dǎo)電子設(shè)備和系統(tǒng)設(shè)計人員該如何避免這些風(fēng)險,ISO組織制定了汽車功能安全管理體系,稱為ISO 26262。


ISO 26262為汽車安全提供了一個生命周期理念:管理、開發(fā)、生產(chǎn)、經(jīng)營、服務(wù)、報廢。同時標準涵蓋功能性安全方面的整體開發(fā)過程:需求規(guī)劃、設(shè)計、實施、集成、驗證、確認和配置。一句話概括,就是對汽車產(chǎn)品開發(fā)和設(shè)計來說,從項目最開始階段就需要把安全相關(guān)的理念加入到產(chǎn)品規(guī)劃和設(shè)計中。把安全管理加入到產(chǎn)品規(guī)劃和設(shè)計的具體做法。


目前,ISO 26262還只是推薦標準,但在可預(yù)見的未來,世界級車廠、包括國內(nèi)的主流車廠都會要求與安全相關(guān)的產(chǎn)品要符合ISO 26262標準。中國也積極參與了ISO 26262標準的制定,雖然未來ISO 26262在中國的執(zhí)行方式待定,但業(yè)界認為強制執(zhí)行的可能性較大。ISO 26262對于電子設(shè)備和系統(tǒng)制造商是一個保護性措施。ISO 26262是目前最先進的汽車安全標準,在可能的事故訴訟中,若是由已通過ISO 26262標準的電子設(shè)備或系統(tǒng)導(dǎo)致的故障,只需承擔(dān)有限責(zé)任。


我們再來了解下ASIL,英文全稱是Automotive Safety Integration Level,中文是汽車安全完整性等級。ASIL描述系統(tǒng)能夠?qū)崿F(xiàn)指定安全目標的概率高低。


ASIL有四個等級,分別為A,B,C,D,其中A是最低的等級,D是最高的等級。越高的級別,對設(shè)計所要求的功能安全性越高,這種要求包括對故障的覆蓋率,設(shè)計流程的可追溯,設(shè)計軟件的可信賴證明等。舉其中一個例子來說,ASIL A等級對系統(tǒng)單點故障的覆蓋率要求達到90%以上;而ASIL D等級對系統(tǒng)單點故障的覆蓋率要求達到99%以上。


數(shù)據(jù)來源:新思科技



AEC-Q100


早年,克萊斯勒、福特和通用汽車為建立一套通用的零件資質(zhì)及質(zhì)量系統(tǒng)標準而設(shè)立了汽車電子委員會(AEC),AEC 是“Automotive Electronics Council:汽車電子協(xié)會”之縮寫,由主要汽車制造商與美國的主要零部件制造商匯聚一起成立的團體,該團體以車載電子部件的可靠性以及認定標準的規(guī)格化為目的。


AEC建立了質(zhì)量控制的標準。同時,由于符合AEC規(guī)范的零部件均可被上述三家車廠同時采用,促進了零部件制造商交換其產(chǎn)品特性數(shù)據(jù)的意愿,并推動了汽車零件通用性的實施,為汽車零部件市場的快速成長打下基礎(chǔ)。


AEC-Q100主要用于預(yù)防產(chǎn)品可能發(fā)生各種狀況或潛在的故障狀態(tài),引導(dǎo)零部件供貨商在開發(fā)的過程中就能采用符合該規(guī)范的芯片。AEC-Q100對每一個芯片個案進行嚴格的質(zhì)量與可靠度確認,確認制造商所提出的產(chǎn)品數(shù)據(jù)表、使用目的、功能說明等是否符合最初需求的功能,以及在連續(xù)使用后功能與性能是否能始終如一。AEC-Q100標準的目標是提高產(chǎn)品的良品率,對芯片供貨商來說,要通過AEC-Q100,不論是在產(chǎn)品的尺寸、合格率,還是成本控制,都面臨很大的挑戰(zhàn)。



IATF16949


IATF16949是質(zhì)量管理體系—汽車行業(yè)生產(chǎn)件與相關(guān)服務(wù)件的組織實施ISO9001的特殊要求,IATF16949只適用于汽車整車廠和其直接的零備件制造商,也就是說這些廠家須直接與生產(chǎn)汽車有關(guān),能開展加工制造活動,并通過這種活動使產(chǎn)品增值。半導(dǎo)體產(chǎn)業(yè)鏈中,晶圓廠都需要嚴格按照IATF16949標準開展汽車電子的代工。



新思科技汽車芯片和軟件解決方案


隨著新一代汽車應(yīng)用持續(xù)推動市場增長,設(shè)計人員面臨著越來越大的壓力,他們需要在滿足功能安全要求的同時,依然能夠?qū)崿F(xiàn)緊迫的上市時間目標。新思科技完整汽車設(shè)計解決方案同時提供復(fù)雜的功能安全分析、設(shè)計實現(xiàn)和驗證功能。差異化汽車設(shè)計產(chǎn)品,如統(tǒng)一功能安全驗證和原生汽車解決方案,使設(shè)計人員能夠在規(guī)劃和實現(xiàn)階段證明其芯片安全架構(gòu)達到目標ASIL等級。


統(tǒng)一的功能安全驗證解決方案將同類中最佳的故障活動管理技術(shù)集中在一個統(tǒng)一的控制中心。早期功能安全分析可以快速識別三模冗余(TMR)和雙核鎖步(DCLS)的候選對象,并對目標ASIL指標做出預(yù)估。


新思科技的原生汽車解決方案提供業(yè)界最全面的功能集,可以高效實現(xiàn)和驗證TMR、DCLS和故障安全有限狀態(tài)機(FSM)等功能安全機制??蓤?zhí)行全面的數(shù)字/模擬故障注入和仿真,來生成可靠的數(shù)據(jù),用于最終分析和匯總。新思科技還提供完整的解決方案來解決可靠性問題,包括電遷移、電壓降、器件老化和強大的冗余通孔插入(RVI)功能。


新思科技統(tǒng)一功能安全驗證解決方案包括:


  • VC功能安全管理器,這是一款高質(zhì)量的可擴展和分布式FMEDA自動化工具,通過FMEA/FMEDA和統(tǒng)一故障活動流程提供最高生產(chǎn)率

  • TestMAX FuSa,可在RTL級或門級執(zhí)行快速的早期功能安全分析

  • Z01X?故障仿真器,用于快速、可靠的數(shù)字故障仿真

  • VC Formal?FuSa應(yīng)用程序,通過應(yīng)用形式化過濾來加快故障分類

  • TestMax CustomFault?故障仿真器,提供高性能模擬和混合信號故障仿真,以實現(xiàn)全芯片功能安全和測試覆蓋率分析

  • ZeBu®仿真器,用于為使用大量軟件的長時間測試執(zhí)行故障仿真

  • Verdi®故障分析,用于調(diào)試、規(guī)劃和覆蓋,包括與業(yè)界領(lǐng)先的需求跟蹤工具集成

  • Certitude®功能驗證質(zhì)量管理系統(tǒng),用于證明驗證流程的穩(wěn)健性,以支持ISO 26262第8-9部分的評估。


目前,新思科技提供業(yè)界首套完整的用于實現(xiàn)和驗證功能安全機制的原生集成功解決方案,幫助設(shè)計人員顯著縮短上市時間的同時,確保安全關(guān)鍵的汽車設(shè)計的更高結(jié)果質(zhì)量。


汽車技術(shù)的加速發(fā)展,需要更多的汽車芯片來達到自動駕駛和高級駕駛輔助系統(tǒng)(ADAS)的更高汽車安全完整性等級(ASIL)。新思科技原生汽車設(shè)計解決方案通過提供業(yè)界最全面的功能集來實現(xiàn)功能安全機制(如三模冗余(TMR)、雙核鎖步(DCLS)和故障安全有限狀態(tài)機(FSM)),使設(shè)計人員能夠?qū)崿F(xiàn)其目標ASIL。


通過原生汽車解決方案,設(shè)計人員可以在設(shè)計流程早期生成業(yè)界首個功能安全意圖,來描述在整個數(shù)字設(shè)計流程中用作輸入并得到維護的安全機制行為。新思科技原生汽車解決方案整合了多項功能安全技術(shù)的完整數(shù)字設(shè)計流程,這些技術(shù)相互配合,最大限度提高效率,其中包括:


  • TestMAX FuSa,在RTL級或門級執(zhí)行早期功能安全分析,并確定TMR 或DCLS的候選對象,以實現(xiàn)目標ASIL的單點故障指標(SPFM)目標

  • Design Compiler® NXT綜合、IC Compiler?II布局布線和Fusion Compiler?設(shè)計,插入、檢查并報告已實現(xiàn)的安全機制

  • Formality®等價性檢查器,在冗余或附加邏輯模塊插入后在功能上驗證RTL是否與網(wǎng)表匹配

  • IC Validator物理簽核,驗證版圖并報告所有冗余機制均已正確實現(xiàn)


除芯片設(shè)計及驗證外,新思科技還提供一系列通過汽車認證的IP,它們獲得了ISO 26262認證,經(jīng)過設(shè)計和測試能夠滿足1級和2級溫度要求,同時符合AEC-Q100可靠性和ISO 9001質(zhì)量管理體系標準。一系列高速接口、處理器和基礎(chǔ)IP,可幫助汽車芯片公司根據(jù)面向ISO 26262功能安全性、可靠性和質(zhì)量的汽車標準定義進行設(shè)計和測試。主流汽車芯片公司都在使用這些IP來設(shè)計車載SoC,用于ADAS、MCU、信息娛樂和互聯(lián)汽車應(yīng)用,這些IP已經(jīng)過驗證,能夠加速SoC車規(guī)級認證。


此外,作為一家跨半導(dǎo)體和整車的汽車電子技術(shù)方案領(lǐng)導(dǎo)者,新思科技面向整車廠和零部件供應(yīng)商提供八大核心技術(shù),包括:系統(tǒng)功能仿真、前期架構(gòu)設(shè)計、虛擬原型設(shè)計、線束設(shè)計、虛擬故障注入、系統(tǒng)及零部件的軟硬件在環(huán)、高性能系統(tǒng)性能與功耗分析,和軟件質(zhì)量及信息安全。


多年來,新思科技成熟先進的芯片設(shè)計工具、符合汽車行業(yè)標準認證的IP核、驗證、仿真、虛擬原型設(shè)計等全套解決方案 ,幫助合作伙伴實現(xiàn)安全關(guān)鍵的汽車設(shè)計的更高結(jié)果質(zhì)量,幫助其產(chǎn)品實現(xiàn)車規(guī)級標準并縮短上市時間。


最新部分合作案例如下:


2020年1月,新思科技與保時捷共同編寫了《為數(shù)字未來加速汽車開發(fā)》白皮書,勾勒最為先進的汽車電子架構(gòu)開發(fā)流程。該白皮書旨在基于保時捷系統(tǒng)工程原則,在現(xiàn)有汽車開發(fā)過程中融入新思科技Triple Shift-Left方法學(xué)。這一方法學(xué)可以讓車廠(OEM)憑借車規(guī)級IP核,以更快的速度將安全性和保障性加入汽車芯片設(shè)計之中;通過虛擬原型解決方案,實現(xiàn)最早18個月前開始軟件開發(fā),并在整條供應(yīng)鏈上的開發(fā)和測試方面,對軟件安全性和品質(zhì)進行評估。


2019年11月,新思科技與三星攜手交付全面汽車芯片設(shè)計解決方案。該解決方案實現(xiàn)了自動駕駛和高級駕駛輔助系統(tǒng)(ADAS)的目標汽車安全完整性等級(ASIL)。作為合作的一部分,三星和新思科技聯(lián)手推出了三星的汽車參考流程來滿足安全關(guān)鍵型設(shè)計的目標ASIL等級?;谌?LPP工藝開發(fā)的汽車參考流程經(jīng)過調(diào)試,能夠在不同的工藝技術(shù)上體現(xiàn)優(yōu)勢。


2019年9月,英飛凌與新思科技合作,英飛凌的下一代AURIX?微控制器將集成一個全新的高性能AI加速器 – 并行處理單元(PPU),而該PPU將采用新思科技的DesignWare® ARC® EV處理器IP。


2019年5月,新思科技和Elektrobit宣布了一項利用虛擬環(huán)境加速汽車電子系統(tǒng)開發(fā)的合作計劃。雙方正合作將新思科技Virtualizer Development Kits(簡稱VDK)、EB操作系統(tǒng)、開發(fā)和測試工具以及互補的專業(yè)知識結(jié)合在一起,以實現(xiàn)硅前和電子控制單元(ECU)前的硬件可用性和軟件開發(fā)。該聯(lián)合解決方案加快了系統(tǒng)測試周期,使汽車一級供應(yīng)商和OEM公司能夠從物理系統(tǒng)測試過渡到虛擬系統(tǒng)測試。


2019年4月,新思科技與意法半導(dǎo)體合作,建立“卓越中心”,以加快汽車電子系統(tǒng)和軟件的開發(fā)速度。該計劃主要為意法半導(dǎo)體“恒星”系列汽車多核微控制器(MCU)提供新思科技Virtualizer開發(fā)工具包,使汽車系統(tǒng)軟件開發(fā)從傳統(tǒng)的物理測試平臺轉(zhuǎn)向虛擬開發(fā)平臺,縮短汽車電子系統(tǒng)開發(fā)周期。首個支持ST“恒星”MCU系列的VDK已經(jīng)面世。


2019年3月,瑞薩電子宣布已經(jīng)為其高性能汽車SoC與任務(wù)關(guān)鍵型微控制器部署新思科技Fusion Compiler? RTL-to-GDSII設(shè)計實現(xiàn)解決方案,以便讓市場更快采用下一代汽車設(shè)計。Fusion Compiler在瑞薩廣泛的驗證過程中,為多個量產(chǎn)設(shè)計帶來了最佳的時序和功耗(QoR)、更小的面積以及更快的設(shè)計收斂速度(TTR)。在初始設(shè)計解決方案成功獲得多個重大利好之后,瑞薩開始廣泛部署Fusion Compiler,希望其汽車設(shè)計團隊也能從中受益。


2018年10月,新思科技推出支持TSMC 7nm FinFET工藝技術(shù)的汽車級DesignWare®Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IP在TSMC 7nm工藝技術(shù)實現(xiàn)了先進的汽車設(shè)計規(guī)則,以滿足ADAS和自動駕駛芯片的可靠性及運行要求。推出此項支持TSMC 7nm工藝技術(shù)的汽車級IP進一步擴展了新思科技FinFET工藝的ISO 26262 ASIL Ready IP解決方案的產(chǎn)品組合,并已被十余家領(lǐng)先的汽車廠商所采用。該IP滿足嚴格的AEC-Q100溫度要求,為汽車芯片提供高可靠性。此外,新思科技還提供包含故障模式和FMEDA報告的汽車安全包,能夠節(jié)省設(shè)計人員數(shù)月的開發(fā)時間,并加快芯片安全功能評估。


汽車的高度智能化、網(wǎng)聯(lián)化、電子化發(fā)展趨勢,使當(dāng)今的車輛成為一臺聯(lián)網(wǎng)的移動計算機,這帶來了汽車行業(yè)幾乎沒有什么經(jīng)驗來處理的問題:網(wǎng)絡(luò)安全風(fēng)險。汽車制造商面臨的軟件問題與運輸公司沒有什么兩樣,他們都面臨著軟件安全所固有的各種挑戰(zhàn)。


新思科技與國際自動機工程師學(xué)會(SAE International)聯(lián)合發(fā)布的《保護現(xiàn)代車輛的安全:汽車工業(yè)網(wǎng)絡(luò)安全實踐研究》報告顯示,73%的汽車相關(guān)從業(yè)者表示,他們非常擔(dān)心第三方所提供的汽車技術(shù)的網(wǎng)絡(luò)安全狀況;44%的受訪者表示,他們的企業(yè)對上游供應(yīng)商提供的產(chǎn)品落實了網(wǎng)絡(luò)安全要求。新思科技軟件安全部門經(jīng)過驗證的方法和自動化解決方案,將加強SDLC的每個階段和整個軟件供應(yīng)鏈中的軟件安全狀況,實現(xiàn)安全和品質(zhì)保障。識別有風(fēng)險的設(shè)計,并控制缺陷和資產(chǎn)漏洞。包含:


  • 設(shè)計階段:識別有風(fēng)險的設(shè)計缺陷、控制缺陷和資產(chǎn)漏洞。

  • 開發(fā)階段:

    檢測第三方組件、安全漏洞、許可證使用以及代碼中的關(guān)鍵缺陷和弱點。


新思科技安全性軟件解決方案還會檢測第三方組件、安全漏洞、許可證使用以及代碼中存在的弱點,可幫助滿足 ISO 26262、MISRA 和其他新興汽車網(wǎng)絡(luò)安全標準。



總結(jié)

想象一下,你期待擁有的下一部汽車是什么樣子?汽車還只是你的代步交通工具嗎?

很多人期待汽車成為一種生活方式,娛樂、出行、智能應(yīng)用、自動駕駛……人工智能、物聯(lián)網(wǎng)、5G、云的發(fā)展,將賦能未來的汽車成為一種全新的智能設(shè)備。

像你熟悉的蘋果手機一樣,下一部車或?qū)⒊蔀橐徊垦b上四個輪子的大型物聯(lián)網(wǎng)設(shè)備。而這令人振奮的革命,離不開汽車電子,汽車電子則離不開芯片。新思科技全力支持基于芯片和軟件的汽車創(chuàng)新,由內(nèi)而外驅(qū)動汽車變革——以安全為動力貫穿開發(fā)、測試和整個汽車供應(yīng)鏈、提供車規(guī)級IP加快硅芯片設(shè)計、貫穿整個供應(yīng)鏈的虛擬原型技術(shù)加快軟件開發(fā),保障汽車芯片設(shè)計和驗證符合車規(guī)級安全性與可靠性標準。

與新思科技一起,開啟汽車芯片設(shè)計的全新旅程,期待下一程突破想象的智能汽車之旅!

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉