當前位置:首頁 > EDA > 電子設計自動化
[導讀]分析了基于Altera公司CPLD芯片EMP7128SLC84-15進行相位測量的基本原理,給出了用EMP7128SLC8415進行相位測量的硬件實現(xiàn)電路及VHDL源程序。

   摘要:分析了基于Altera公司CPLD芯片EMP7128SLC84-15進行相位測量的基本原理,給出了用EMP7128SLC8415進行相位測量的硬件實現(xiàn)電路及VHDL源程序。

    關鍵詞:EMP 7128SLC84-15;CPLD;相位;頻率

1 器件簡介

EMP 7128SLC84-15是Altera公司的MAX7000S系列CPLD,它采用CMOS工藝,并以第二代矩陣結構為基礎,實際上也是一種基于E2PROM的器件。EMP 7128SLC84-15有84個引腳,其中5根用于ISP(In System Programmable)下載,可方便地對其進行在系統(tǒng)編程。此器件內(nèi)集成了6000門,其中典型可用門為2500個,有128個邏輯單元,60個可用I/O口,可單獨配置為輸入、輸出及雙向工作方式,2個全局時鐘及一個全局使能端和一個全局清除端。EMP 7128SLC84-15支持多電壓工作,其傳輸延時為7.5ns,最高工作頻率高達125MHz,并支持多種編程方式,同時可利用Altera公司的第三代開發(fā)軟件Max+PlusII方便地進行仿真、綜合和下載。

2 系統(tǒng)工作原理

圖1所示是一個數(shù)字式相位測量儀的系統(tǒng)工作示意圖。圖中,輸入的比較信號b與參照信號a,經(jīng)參數(shù)相同的整形電路變換為正方波后,將兩個方波進行異或(在CPLD中完成),同時與測得信號的頻率f(由CPLD設計一頻率計完成)再異或,然后將得到的信號經(jīng)2f倍頻,再將此信號作為閘門,并在其高電平時段利用高頻時鐘fc進行計數(shù),最后在下降沿時將計數(shù)值讀出并設為N,則相位為:

Phase=180 °N/fc

該相位測量儀表系統(tǒng)除整形電路外,其余均可由CPLD完成。計數(shù)所使用的晶振頻率為4MHz時?此系統(tǒng)的分辨率為180°/(4×106)=(4.5×10-5)°。

3 基于CPLD的程序設計

設計系統(tǒng)軟件時?運用VHDL語言,可將系統(tǒng)分為頻率計、分頻器、相位計數(shù)器3個子模塊,現(xiàn)對其分別進行描述:

(1)頻率計

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fcounter is

port(sig:in std_logic; --輸入信號

clk:in std_logic; --0.5Hz的閘門信號,可由晶振分頻得到

counter:out std_logic_vector(19 downto 0));?

--計數(shù)輸出

end;

architecture data of fcounter is

signal temp:std_logic_vector(19 downto 0);

begin

P1:process(sig)

begin

if sig'event and sig=‘1’then

if clk=‘1’ then

temp<=temp+1; --在閘門的高電平時段計數(shù)

else

temp<=“00000000000000000000”? 

--在閘門的低電平時段清零

end if;

end if;

end process P1;

P2?process(clk)

begin

if clk′event and clk=′0′ then

counter<=temp;在閘門的下降沿將數(shù)據(jù)讀出

end if;

end process P2;

end;

由于閘門采用的是0.5Hz的方波,因此?輸出數(shù)值即為頻率值。

(2) 分頻模塊

通過此模塊可對頻率計得到的頻率進行分頻,也可在異或后再分頻得到頻率為0.5Hz的矩形波。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fen is

port(qin:in std_logic vector(19 downto 0);--連接頻率計輸出的頻率值

qout:out std_logic);

end;

architecture data of fen is

signal temp:std_logic_vector(19 downto 0);

signal a:std_logic;

begin

process(temp)

begin

if temp<qin then

temp<=temp+1;

else

temp<=“00000000000000000000”;

a<=not a;

end if;

qout<=a; ?。M行2f倍分頻

end process;

end;

3?相位測量

該模塊將分頻模塊得到的信號作為閘門,然后利用外部晶振進行計數(shù),其設計原理與頻率計相同。由于相異或的一個周期對應輸入的兩路方波信號的半個周期(180°),而且只能測量到最大180°的相位差,因此?還須判斷超前或滯后,才能測量出大于180°的相位差,具體程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity pre_lag is

port(s1,s2:in std_ ogic;  --兩輸入信號

pre:out std_logic);  --判斷結果輸出

end;

architecture data of pre_lag is

begin

lag:process(s1)

begin

if s1′event and s1=′1′ then

if s2=′0′then

pre<=′1′;?。簦螅背埃螅?輸出為

′1′,否則輸出′0′;

else

pre<=′0′;

end if;

end if;

end process lag;

end;

(4) 模塊的組合

圖3

    在Max+PlusII中,采用原理圖輸入方式可將上述各模塊組合成一個軟件系統(tǒng),然后將其綜合下載到CPLD即可完成相位測量儀的設計,其具體設計圖見圖3所示。

圖3中, A、B為輸入信號a、b經(jīng)過整形得到的方波信號。Fcounter為頻率計模塊,Fen為分頻器,Phasecounter為相位測量計數(shù)器,Pre-lag為超前滯后判斷模塊。

4 結束語

基于CPLD邏輯器件 EMP7128SLC84-15構成的相位測量系統(tǒng)具有測量頻帶寬、分辨率高、誤差小、成本低、簡單易行等優(yōu)點,完全能夠滿足實際測量的要求。而且,由于完全采用的是CPLD設計,因此,該系統(tǒng)十分易于升級。

本站聲明: 本文章由作者或相關機構授權發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉型技術解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務中斷的風險,如企業(yè)系統(tǒng)復雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務連續(xù)性,提升韌性,成...

關鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關鍵字: 華為 12nm EDA 半導體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權最終是由生態(tài)的繁榮決定的。

關鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務引領增長 以科技創(chuàng)新為引領,提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術學會聯(lián)合牽頭組建的NVI技術創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術創(chuàng)新聯(lián)...

關鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關鍵字: BSP 信息技術
關閉
關閉