當(dāng)前位置:首頁 > 公眾號精選 > 電子電路開發(fā)學(xué)習(xí)
[導(dǎo)讀]前幾天和同事聊天,他說他上初中的兒子做出了一道很難的數(shù)學(xué)題,想考考我們這些大學(xué)生看能不能做得出來?


前幾天和同事聊天,他說他上初中的兒子做出了一道很難的數(shù)學(xué)題,想考考我們這些大學(xué)生看能不能做得出來?

題目很簡單:

數(shù)學(xué)題目

大家先嘗試做一下?我沒想出怎么算的,只是用排除法確定了a和b的范圍,然后再逐個嘗試。

1.對4361進行開方計算,得到結(jié)果最大為66,則a,b的值均小于等于66。

2.對4361/2進行開方計算,則得到結(jié)果為46,則a,b兩者,一個是1-46,一個是46-66之間的數(shù)。

3.由平方和4361末尾為1,再根據(jù)整數(shù)平方和的幾種可能,計算出僅有0+1和5+6這兩種可能,而且平方之后的個位數(shù)為0/1/5/6,這樣就進一步縮小了范圍,通過多次計算嘗試可以得出結(jié)果。

不過我懶得算了,就簡單寫了個C語言程序,計算出了結(jié)果:

#include  #include  #include  int main(void) { int num; int a,?b,?n; int result; int sqr; printf("please?enter?a?number:");//4361 scanf("%d",?&num); printf("input?num:?%d\n",?num);

????sqr?= sqrt(num); for(a?= 1;?a?<= sqr; a++) //可以設(shè)置1-46 { for(b?= 1;?b?<= sqr; b++) //可以設(shè)置46-66 {
????????????result?= pow(a, 2)?+ pow(b, 2); if(result?==?num)
????????????{ printf("a?=?%2d,?b?=?%2d,?a?+?b?=?%d\n",?a,?b,?a+b);
????????????????n++;
????????????}
????????}
????} if(n?== 0) printf("There?is?no?answer!\n"); return 0;
}

其實可以設(shè)置一個數(shù)的循環(huán)范圍是:1-46,一個數(shù)的循環(huán)范圍是46-66,這樣會減少循環(huán)次數(shù)。

運行結(jié)果:

運行結(jié)果

而且這種方式還適用于解的個數(shù)不唯一的情況,比如7605:

運行結(jié)果

作為一個野生FPGA開發(fā)者,我在想能不能用FPGA的編程思想來實現(xiàn)呢?也就是如何用Verilog來實現(xiàn)兩個循環(huán)的嵌套呢?抄起鍵盤就是干!

抄起鍵盤就是干

verilog源文件fpga_math.v:

module fpga_math( //inputs input?clk,
????input?rst_n, //outputs output?reg?[13:0]?a,?b,
????output?reg?[14:0]?result,
????output?ok
);

parameter?SUM?= 4361;
parameter?SQR?= 67; //sqrt(SUM); reg?[13:0]?tmp_a;
reg?[13:0]?tmp_b;
reg?flag;

assign?ok?=?(tmp_a*tmp_a?+?tmp_b*tmp_b?==?SUM);

always?@?(posedge?clk) begin if(!rst_n) tmp_b?<= 0; else if(tmp_b?==?SQR)
????????tmp_b?<= 0; else if(tmp_a?!=?SQR)
????????tmp_b?<= tmp_b + 1;
end

always?@?(posedge?clk) begin if(!rst_n) flag?<= 0; else if(tmp_b?==?SQR)
????????flag?<= 1; else flag?<= 0;
end

always?@?(posedge?clk) begin if(!rst_n) tmp_a?<= 0; else if((tmp_a?!=?SQR)?&?flag)
????????tmp_a?<= tmp_a + 1;
end

always?@?(posedge?clk) begin if(!rst_n) begin
????????a?<= 0;
????????b?<= 0;
????????result?<= 0; end else if(ok) begin
????????a?<=?tmp_a;
????????b?<= tmp_b; result = tmp_a + tmp_b; end end endmodule

為了驗證這個模塊的正確性,我們需要對這個模塊進行仿真,即給一個激勵輸入信號,看輸出是否正確。

新建testbench文件fpga_math_tb.v:

`timescale 1ns/100ps module fpga_math_tb;

parameter?SUM?= 4361;
parameter?SQR?= 67; //sqrt(4361) parameter?SYSCLK_PERIOD?= 10;//?100MHZ wire?[13:0]?a,?b;
wire?[14:0]?result;

reg?SYSCLK;
reg?NSYSRESET;

initial
begin
????SYSCLK?= 1'b0;
????NSYSRESET?= 1'b0;

????#(SYSCLK_PERIOD?* 10 )
????????NSYSRESET?= 1'b1;
????#(SYSCLK_PERIOD?*?(SQR*SQR+500)?)
????????$stop;
end /*generate?clock*/ always?@(SYSCLK)
????#(SYSCLK_PERIOD?/ 2.0)?SYSCLK?<= !SYSCLK; /*instance?module*/ fpga_math?#(
????.SUM(SUM),
????.SQR(SQR)
)fpga_math_0( //inputs .clk(SYSCLK),
????.rst_n(NSYSRESET), //outputs .a(a),
????.b(b),
????.result(result),
????.ok(ok)
);

endmodule

ModelSim仿真波形:

仿真波形

仿真工具除了使用各大FPGA廠商IDE帶的ModelSim等,也可以使用小巧開源的全平臺仿真工具:iverilog+gtkwave,使用方法可以參考:

全平臺輕量開源verilog仿真工具iverilog+GTKWave使用教程

如果使用iverilog進行仿真,需要在TB文件中添加以下幾行語句:

/*iverilog?*/
initial
begin????????????
????$dumpfile("wave.vcd");????????//生成的vcd文件名稱
????$dumpvars(0,?fpga_math_tb);???//tb模塊名稱
end
/*iverilog?*

首先對Verilog源文件進行編譯,檢查是否有語法錯誤,這會在當(dāng)前目錄生成wave目標(biāo)文件:

iverilog?-o?wave?*.v

然后通過vvp指令,產(chǎn)生仿真的wave.vcd波形文件:

vvp?-n?wave?-lxt2

使用gtkwave打開波形文件:

gtkwave?wave.vcd

當(dāng)然以上命令也可以寫成批處理文件:

echo "開始編譯" iverilog?-o?wave?*.v echo "編譯完成" echo "生成波形文件" vvp?-n?wave?-lxt2 echo "打開波形文件" gtkwave?wave.vcd

以文本方式存儲為build.bat文件即可,雙擊即可自動完成編譯、生成波形文件、打開波形文件操作。

仿真波形:

仿真波形

可以看出,和使用ModelSim仿真是一樣的結(jié)果。

總結(jié)

從仿真波形圖中,可以得到計算的結(jié)果,a+b的值為91,如果要在真實的FPGA芯片硬件上實現(xiàn),還需要添加其他功能模塊,把結(jié)果通過串口輸出,或者在數(shù)碼管等顯示屏上進行顯示,這里只是簡單介紹使用FPGA計算方法的實現(xiàn)。作為純數(shù)字電路的FPGA,實現(xiàn)平方根是比較復(fù)雜的,這里采用直接人為輸入平方根結(jié)果的方式,而不是像C語言那樣調(diào)用sqrt函數(shù)自動計算平方根。FPGA中不僅有觸發(fā)器和查找表,而且還有乘法器、除法器等硬核IP,所以在涉及到乘除法、平方根運算時,不要直接使用*/等運算符,而是要使用FPGA自帶的IP核,這樣就不會占用大量的邏輯資源,像Xilinx的基于Cordic算法的Cordic IP核,不僅能實現(xiàn)平方根計算,而且還有sin/cos/tan/arctan等三角函數(shù)。


免責(zé)聲明:本文內(nèi)容由21ic獲得授權(quán)后發(fā)布,版權(quán)歸原作者所有,本平臺僅提供信息存儲服務(wù)。文章僅代表作者個人觀點,不代表本平臺立場,如有問題,請聯(lián)系我們,謝謝!

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫毥谦F公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...

關(guān)鍵字: AWS AN BSP 數(shù)字化

倫敦2024年8月29日 /美通社/ -- 英國汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動 BSP

北京2024年8月28日 /美通社/ -- 越來越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運行,同時企業(yè)卻面臨越來越多業(yè)務(wù)中斷的風(fēng)險,如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報道,騰訊和網(wǎng)易近期正在縮減他們對日本游戲市場的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會開幕式在貴陽舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國國際大數(shù)據(jù)產(chǎn)業(yè)博覽會上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機 衛(wèi)星通信

要點: 有效應(yīng)對環(huán)境變化,經(jīng)營業(yè)績穩(wěn)中有升 落實提質(zhì)增效舉措,毛利潤率延續(xù)升勢 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競爭力 堅持高質(zhì)量發(fā)展策略,塑強核心競爭優(yōu)勢...

關(guān)鍵字: 通信 BSP 電信運營商 數(shù)字經(jīng)濟

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺與中國電影電視技術(shù)學(xué)會聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會上宣布正式成立。 活動現(xiàn)場 NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會上,軟通動力信息技術(shù)(集團)股份有限公司(以下簡稱"軟通動力")與長三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉
關(guān)閉