[導(dǎo)讀]A
Albel·Niels·Henrik,阿貝爾·尼爾斯·亨里克
albelian group,阿貝爾群
aborts,終止
absolute value and branch
A
Albel·Niels·Henrik,阿貝爾·尼爾斯·亨里克
albelian group,阿貝爾群
aborts,終止
absolute value and branch misprediction,絕對(duì)值代碼和轉(zhuǎn)移預(yù)測(cè)錯(cuò)誤
abstract algebra,抽象代數(shù)
accept wait for client connection request,等待客戶(hù)連接請(qǐng)求
access_counter read cycle counter,讀周期計(jì)數(shù)器
access time,訪問(wèn)時(shí)間
active page,活動(dòng)頁(yè)面
active program,活動(dòng)程序
active socket,主動(dòng)套接字
actuator arm,傳動(dòng)臂
acyclic networks,無(wú)網(wǎng)環(huán)
adapter,適配器
add_client add client to list,向列表添加客戶(hù)端
adder CGI adder,CGI加法程序
addition,加法
two's-complement,二進(jìn)制補(bǔ)碼加法
unsigned,無(wú)符號(hào)加法
additive identities,加法單位元
additive inverse,加法逆元
addl and double word,兩個(gè)字相加
addp operation,addp操作
address:地址
effective,有效地址
Internet,Internet地址
IP,IP地址
lookback,本地回環(huán)地址
memory,存儲(chǔ)器地址
physical,物理地址
return,返回地址
scalar IP,標(biāo)量IP地址
socket,套接字地址
virtual,虛擬地址
virtual memory,虛擬存儲(chǔ)器地址
address order,地址順序
address spaces,地址空間
linear,線性地址空間
physical,物理地址空間
private,私有地址空間
virtual,虛擬地址空間
address translation,地址翻譯
end-to-end,端到端的地址翻譯
integrating caches and VM,結(jié)合高端緩存和虛擬存儲(chǔ)器的地址翻譯
multi level page table,使用多級(jí)頁(yè)表的地址翻譯
optimizing,優(yōu)化地址翻譯
with a page table,使用頁(yè)表的地址翻譯
speeding up with a translation lookaside buffer(TLB)利用翻譯后背緩沖器(TLB)加速地址翻譯
symbols,地址翻譯符號(hào)
addressing mode,尋址模式
adjacency matrix,鄰接矩陣
AFS(Andrew file system),安德魯文件系統(tǒng)
aggregate data types,聚集數(shù)據(jù)類(lèi)型
aggregate payload,聚集有效載荷
program register,程序寄存器
alarm schedule to self安排向本調(diào)用進(jìn)程發(fā)送信號(hào)
aliasing,別名使用
alignment,對(duì)齊
allocated bit,已分配到位
allocated block 已分配塊
allocated virtual memory,已分配存儲(chǔ)區(qū)
ALU arithmetic/logic unit,算術(shù)/邏輯單元
anonymous file,匿名文件
ANSI,American national standards institute,美國(guó)國(guó)家標(biāo)準(zhǔn)化組織
AOL,American online,美國(guó)在線
Archimedes,阿基米德
archives,存檔
areal density,面密度
arithmetic/logic unit operations,算術(shù)和邏輯操作
binary operations,二元操作
load effective address,加載有效地址操作
shift operations,移位操作
special arithmetic operations,特殊的算術(shù)操作
unary operations,一元操作
arithmetic shift,算術(shù)位移
ARPA,advanced research projects adminstrations,高級(jí)研究計(jì)劃署
array allocation and access,數(shù)組分配和訪問(wèn)
arrays and loops,數(shù)組和循環(huán)
basic principles,基本原則
dynamically allocated arrays,動(dòng)態(tài)數(shù)組的分配。
fixed size arrays,固定大小的數(shù)組
nested arrays,嵌套數(shù)組
pointer arithmetic,指針運(yùn)算
character set,字符集
assembler,匯編器
assembler directive,匯編器命令
assembly phase,匯編階段
assembly code,匯編代碼
assembly-language program,匯編語(yǔ)言程序
associative memory,相聯(lián)存儲(chǔ)器
asynchronous exception,異步異常
atom system,atom系統(tǒng)
automatic variable,自動(dòng)變量
B
background process,后臺(tái)進(jìn)程
bad pointers,dereferencing,壞指針,間接引用指針
base register in memory reference,存儲(chǔ)器引用中的基址寄存器
basic block,基本塊
bell laboratories,貝爾實(shí)驗(yàn)室
Berkeley sockets,伯克利套接字
best fit,最佳適配
bias,偏置值
biased number encoding,偏置數(shù)的編碼
big endian,大端法
bijection,雙射
binary,二進(jìn)制代碼
binary files,二進(jìn)制文件
binary operations,二元操作
binary point,二進(jìn)制的點(diǎn)
binary semaphore,二值信號(hào)量binary
binary to two's-complement conversion,二進(jìn)制數(shù)到二進(jìn)制補(bǔ)碼的轉(zhuǎn)換
binary translation,二進(jìn)制翻譯
bit-level operations,位級(jí)運(yùn)算
block offset bits,塊偏移位
block pointers,塊指針
blocked bit vector,被阻塞的信號(hào)位向量
blocked signal,被阻塞的信號(hào)
blocks,塊
current,當(dāng)前塊
epilogue,結(jié)尾塊
footer,腳部塊
free,空閑塊
coalescing,合并空閑塊
splitting,分割空閑塊
header,頭部
minimum block size,最小塊大小
padding,填充
prologue,序言塊
replacing/evicting,替換/驅(qū)逐
body,體
code,代碼體
response,響應(yīng)主題
bool bit-level signal,布爾級(jí)信號(hào)
boolean algebra,布爾代數(shù)
Boolean rings,布爾環(huán)
bottleneck elimination,消除瓶頸
program profiling,程序剖析
using a profiler to guide optimization,使用剖析程序來(lái)優(yōu)化
boundary tags,邊界標(biāo)記
boundary tags,coalescing,邊界標(biāo)記,使用邊界標(biāo)記進(jìn)行合并
branch,轉(zhuǎn)移
switch statement,switch 語(yǔ)句
branch penalty,轉(zhuǎn)移處罰
branch prediction,轉(zhuǎn)移預(yù)測(cè)
misprediction penalties,預(yù)測(cè)錯(cuò)誤處罰
branch prediction logic,轉(zhuǎn)移預(yù)測(cè)邏輯
branch,use of term,轉(zhuǎn)移,術(shù)語(yǔ)使用
bridged Ethernet,橋接以太網(wǎng)
browser,瀏覽器
bubble,氣泡
buddy system,伙伴系統(tǒng)
buffer overflow,緩沖區(qū)溢出
battling Microsoft via,借助于緩沖區(qū)溢出與Microsoft作戰(zhàn)
buffer overflow bugs,緩沖區(qū)溢出錯(cuò)誤
bus,總線
bus interface,總線接口
bus transaction,總線事務(wù)
bypass paths,旁路路徑
byte movement instruction ,comparing,字節(jié)傳送指令比較
byte order,network,字節(jié)順序,網(wǎng)絡(luò)字節(jié)順序
byte ordering,字節(jié)順序
in disassembled code,反匯編代碼中的字節(jié)順序
bytes,字節(jié)
order,字節(jié)順序
C
C library file,C庫(kù)文件
C programming language,C編程語(yǔ)言
C standard library,C標(biāo)準(zhǔn)庫(kù)
cache,高速緩存
cache block,高速緩存塊
cache block offset,高速緩存塊偏移
cache-friendly code,writing,高速緩存有好的代碼,編寫(xiě)
cache hits,高速緩存命中
cache line,高速緩存行
cache management,高速緩存管理
cache memories,高速緩存存儲(chǔ)器
cache misses,高速緩存不命中
cache performance,evaluation of,高速緩存性能,評(píng)價(jià)
cache set index,高速緩存索引組
cache set,高速緩存組
cache tag,高速緩存標(biāo)記
caching,緩存
call procedure call,過(guò)程調(diào)用
caller-callee,調(diào)用者,被調(diào)用者
caller save registers,調(diào)用者保存寄存器
capacity ,容量
capacity misses,容量不命中
column access strobe,列訪問(wèn)選通脈沖
cast,強(qiáng)制轉(zhuǎn)換
catching signals,捕捉信號(hào)
central processing unit,CPU,中央處理單元
CF,carry flag condition code,進(jìn)位標(biāo)志條件碼
CGI,common gateway interface,通用網(wǎng)關(guān)接口
child process,子進(jìn)程
CI,cache set index,高速緩存索引組
circuit,電路
classes,類(lèi)
client,客戶(hù)端
client-server programming model,客戶(hù)端-服務(wù)器編程模型
client-server transactions,客戶(hù)端-服務(wù)器事務(wù)
clock ,variable rate,時(shí)鐘,可變的頻率
clocked registers,時(shí)鐘寄存器
clock ticks,時(shí)鐘嘀嗒
closing files,關(guān)閉文件
coalesing,合并
code motion,代碼移動(dòng)
code profilers,代碼剖析程序
code segment,代碼段
cold cache,冷緩存
cold war,冷戰(zhàn)
column access strobe,列訪問(wèn)選通脈沖
combinational circuits,組合電路
combinational logic,組合邏輯
commercial disk,anatomy of,商用磁盤(pán),商用磁盤(pán)剖析
common gateway interface,通用網(wǎng)關(guān)接口
common object file format,COFF,一般目標(biāo)文件格式
compilation system,編譯系統(tǒng)
compile time,編譯時(shí)
compiler,編譯器
compiler drivers,編譯器驅(qū)動(dòng)程序
compiler optimization,編譯器優(yōu)化
compilation phase,編譯階段
compulsory misses,強(qiáng)制不命中
computation graph,計(jì)算圖
computational pipelines,計(jì)算流水線
computer networks,計(jì)算機(jī)網(wǎng)絡(luò)
computer system events,time scale of,計(jì)算機(jī)系統(tǒng)事件的時(shí)間尺度
concurrency,并發(fā)性
concurrent process,并發(fā)進(jìn)程
concurrent programming,并發(fā)編程
condition variable,條件變量
condition branches,translating,條件轉(zhuǎn)移,翻譯條件轉(zhuǎn)移
condition move,條件傳送
conflict miss,沖突不命中
connected descriptor,已連接描述符
connections,連接
conservative garbage collectors,保守的垃圾堆收集器
content,內(nèi)容
context,上下文
context switch,上下文切換
control,控制
control dependencies 控制相關(guān)
control flow,控制流
control hazard,控制冒險(xiǎn)
control transfer,控制轉(zhuǎn)移
controller,控制器
coprocessor,協(xié)處理器
copy-on-write,寫(xiě)時(shí)拷貝
core,核心
CPE,cycle per element,每元素的周期數(shù)
CPI,cycle per instruction,每指令周期數(shù)
critical section,臨界區(qū)
CT,cache tag,緩存標(biāo)記
cycle timers周期計(jì)時(shí)器
cylinders,柱面
D
data forwarding,數(shù)據(jù),前遞
data cache,數(shù)據(jù)高速緩存
data dependencies,數(shù)據(jù)相關(guān)
data hazard,數(shù)據(jù)冒險(xiǎn)
data movement,數(shù)據(jù)傳送
data movement instructions,數(shù)據(jù)傳送指令
data reference,數(shù)據(jù)引用
data segment,數(shù)據(jù)段
data size,數(shù)據(jù)大小
datagrams ,數(shù)據(jù)報(bào)
d-cache,data cache,數(shù)據(jù)高速緩存
deadlock,死鎖
deadlock region,死鎖區(qū)域
deadlock state,死鎖狀態(tài)
decimal,converting between hexadecimal,十進(jìn)制和十六進(jìn)制間的轉(zhuǎn)換
decimal notation,十進(jìn)制表示法
declaration of a pointer,聲明指針
decode stage,譯碼階段
deep pipelining,過(guò)深的流水線
default action on signal receipt,收到信號(hào)的默認(rèn)行為
deferred coalesing,推遲合并
delivering signals,遞送信號(hào)
demand paging,按需頁(yè)面調(diào)度
demand-zero pages,請(qǐng)求二進(jìn)制零的頁(yè)
demanding,恢復(fù)
denormalized numbers,非規(guī)格化數(shù)字
dependency,相關(guān)
dereferencing,pointers,間接引用,指針的間接指針
descriptor,描述符
descriptor sets,描述符集合
descriptor table,描述符表
destination host,目的主機(jī)
detaching threads,分離線程
DIMM,dual inline memory module,雙列直插存儲(chǔ)器模塊
direct jump,直接跳轉(zhuǎn)
direct-mapped caches,直接映射高速緩存
direct memory access,DMA,直接存儲(chǔ)器訪問(wèn)directory file,目錄文件
dirty bit,修改位
dirty page,修改頁(yè)面
disassembler反匯編器
disk,磁盤(pán)
disk access,磁盤(pán)訪問(wèn)
disk capacity,磁盤(pán)容量
disk controller,磁盤(pán)控制器
disk drive,磁盤(pán)驅(qū)動(dòng)器
disk geometry,磁盤(pán)夠造
disk operation,磁盤(pán)操作
disk storage,磁盤(pán)存儲(chǔ)
display,顯示器
divide error,除法錯(cuò)誤
DNS,domain naming system,域名系統(tǒng)
dynamic content,動(dòng)態(tài)內(nèi)容
dynamic linker,動(dòng)態(tài)鏈接器
dynamic linking,動(dòng)態(tài)鏈接
dynamic link library,動(dòng)態(tài)鏈接庫(kù)存
dynamic memory allocation and deallocation,動(dòng)態(tài)存儲(chǔ)器分配和釋放
dynamically allocated arrays,動(dòng)態(tài)分配的數(shù)組
E
ECF,exceptional control flow,異常控制流
EEPROM,electrically erasable programmable,電子可擦除的ROM
effective address,有效地址
ELF,executable and linkable format,可執(zhí)行和可鏈接格式
embeded processor,嵌入式處理器
encapsulation,封裝
end-of-file,文件尾
end-to-end address translation,端到端的地址翻譯
entry point,入口點(diǎn)
environment variable,環(huán)境變量
ephemeral port,臨時(shí)端口
epilogue block,結(jié)尾塊
error-correcting codes,糾錯(cuò)碼
error handling,錯(cuò)誤處理
error-handling wrappers,錯(cuò)誤處理封裝函數(shù)
error-report function,錯(cuò)誤報(bào)告函數(shù)
Ethernet,以太網(wǎng)
Ethernet segments,以太網(wǎng)段
event,事件
event-driven program,事件驅(qū)動(dòng)程序
evicting blocks,驅(qū)逐塊
exception,異常
exception handler,異常處理程序
exception handling,異常處理
exceptionnumber,異常號(hào)
exceptional control flow,異常控制流
executable object files,可執(zhí)行目標(biāo)文件
executable object program,可執(zhí)行目標(biāo)程序
execute stage,執(zhí)行階段
execution unit,執(zhí)行單元
exit status,退出狀態(tài)
expansion slot,擴(kuò)展槽
explicit free lists,顯式空閑鏈表
explicitly blocking signals,顯式地阻塞信號(hào)
explicitly reentrant functions ,顯式可重入函數(shù)
exploit code,漏洞入侵代碼
exponent,指數(shù)
extended precision,擴(kuò)展精度
extended-precision format,擴(kuò)展精度格式
extended precision value,擴(kuò)展精度值
external fragmentation,外部碎片
F
fabs,F(xiàn)P absolute value,浮點(diǎn)絕對(duì)值
false fragmentation,假碎片
fast page mode,塊頁(yè)模式
faulting instruction,故障指令
faults,故障
feedback paths ,反饋路徑
fetch stage,取指階段
FIFO,先進(jìn)先出
file descriptor,文件描述符
file metadata,reading,文件元數(shù)據(jù),讀取
file position,文件位置
file sharing,文件共享
file table,文件表
firmware,固件
first fit,首次適配
first-level domain names,第一次域名
fixed size arrays,固定大小的數(shù)組
flags,標(biāo)記
flash memory,閃存
flat addressing,平面尋址方式
floating point,浮點(diǎn)
floating-point arithmetic,浮點(diǎn)運(yùn)算
floating-point code,浮點(diǎn)代碼
floating-point comparison instructions,浮點(diǎn)比較指令
floating-point data movement and conversion operations,浮點(diǎn)數(shù)據(jù)的傳送和轉(zhuǎn)換操作
footer,腳部
forbidden region,禁止區(qū)
foreground process,前臺(tái)進(jìn)程
format string,格式串
formatted disk capacity,格式化的磁盤(pán)容量
formatted printed,格式化輸出
fractional binary representation,小數(shù)的二進(jìn)制表示法
fragmentation,碎片
frame pointer,幀指針
free blocks,釋放空閑塊
free list,空閑鏈表,implicit,隱式
free software,notion of,自由軟件,思想
free virtual memory,空閑的虛擬存儲(chǔ)器
full-duplex connection,全雙工連接
fully associative cache,全相連高速緩存
function calls,函數(shù)調(diào)用
function pointers,函數(shù)指針
functional units,功能單元
G
gaps between disk sectors,間隙(在磁盤(pán)扇區(qū)的)
garbage,垃圾
garbage collection,垃圾收集
garbage collectors,垃圾收集器
general protection fault,一般保護(hù)故障
generic organization ,cache memory,通用的高速緩存存儲(chǔ)器結(jié)構(gòu)
global offset table,全局偏移量表
global symbols,全局符號(hào)
global variable,全局變量
grandual underflow,逐漸下溢出
granularity,粒度
graphics card,圖形適配器
H
handler,處理程序
handling a signal,處理信號(hào)
hardware cache,硬件緩存
hardware description language,硬件描述語(yǔ)言
hardware drawing conventions,硬件作圖慣例
hardware organization 硬件組成
hardware registers,硬件寄存器
hardware units,硬件單元
hardware vs. software exception,硬件與軟件異常
HCL,hardware control language,硬件控制語(yǔ)言
HCL reference manual,HCL參考手冊(cè)
head crash,讀/寫(xiě)頭沖撞
heap nodes,堆節(jié)點(diǎn)
heterogeneous data structure,異類(lèi)的數(shù)據(jù)結(jié)構(gòu)
hexadecimal, converting between decimal and-,十六進(jìn)制與十進(jìn)制的轉(zhuǎn)換
hexadecimal notation,十六進(jìn)制表示法
hit rate,命中率
hit time,命中時(shí)間
holding a mutex,占用互斥鎖
Host,主機(jī)
host entry structure,主機(jī)條目結(jié)構(gòu)
HTML,hypertext markup language,超文本標(biāo)記語(yǔ)言
HTTP,hypertext transfer protocol,超文本傳輸協(xié)議
HTTP requests,HTTP請(qǐng)求
HTTP responses,HTTP應(yīng)答
HTTP status codes,HTTP狀態(tài)碼
HTTP transaction,HTTP事務(wù)
hub 集線器
hyperlinks,超鏈接
I
I/O bridge,I/O橋接器
I/O bus ,I/O總線
I/O device,I/O設(shè)備
I/O multiplexing,I/O多路復(fù)用
I/O port,I/O端口
I/O redirection,I/O重定向
ICALL,instruction code for call instruction,call指令的指令代碼
ICANN,internet corporation for assigned names and numbers,因特網(wǎng)分配名字?jǐn)?shù)字協(xié)會(huì)
identifier,registers,寄存器標(biāo)識(shí)符
immediate coalesing,立即合并
implicit free lists,隱式空閑鏈表
implicit thread termination,隱式線程終止
implicit reentrant function,隱式可重入函數(shù)
inactive program,不活動(dòng)的程序
include header file,包含頭文件
indirect jump,間接跳轉(zhuǎn)
infinite precision arithmetic,無(wú)限精度運(yùn)算
information representation/manipulation,信息存儲(chǔ)
initialized global Cvariables,已初始化的全局變量
initializing threads,初始化進(jìn)程
inline assembly with gcc,使用gcc內(nèi)嵌匯編
INOP,instruction code for nop instruction,nop指令的指令代碼
input/output(I/O)devices,輸入/輸出設(shè)備
input event,輸入時(shí)間
installing a signal handler,設(shè)置信號(hào)處理程序
instant messaging,即時(shí)消息
institute of electrical and electronics engineers(IEEE),電器和電子工程師協(xié)會(huì)
instruction caches,指令高速緩存
instruction control unit(ICU),指令控制單元
instruction execution,指令的執(zhí)行
instruction fetches,locality of,取指令的局部性
instruction pipelining,指令流水線化
instruction-set architecture(ISA),指令集體系結(jié)構(gòu)
instruction squashing,指令排除
instruction per cycle,每周期執(zhí)行指令數(shù)
integer addition,整數(shù)加法
integer arithmetic,整數(shù)運(yùn)算
integer arithmetic operations,整數(shù)算術(shù)操作
integer division,整數(shù)除法
integer register file,整數(shù)寄存器堆
integer representation,整書(shū)表示
integral data type,整數(shù)數(shù)據(jù)類(lèi)型
internal fragmentation,內(nèi)部碎片
Internet,互聯(lián)網(wǎng)
internet address,網(wǎng)絡(luò)地址
Internet domain names,因特網(wǎng)域名
Internet domain survey,因特網(wǎng)域名調(diào)查
Internet protocol,IP,互聯(lián)網(wǎng)協(xié)議
Internet software consortium,因特網(wǎng)軟件協(xié)會(huì)
interpretation,解釋
interrupt,中斷
interrupt handlers,中斷處理程序
interval counting,間隔計(jì)數(shù)
interval counting accuracy,measuring,測(cè)量間隔計(jì)數(shù)的準(zhǔn)確性
interval time,間隔時(shí)間
IOPL,instruction code for integer operation instructions,IOPL整數(shù)操作指令的指令代碼
issue time,發(fā)射時(shí)間
iteration splitting,迭代分割
iteration servers,迭代服務(wù)器
J
Java language,Java語(yǔ)言
Java monitor,Java監(jiān)控器
Java native interface(JNI),and shared libraries,Java本地接口(JNI)
job,作業(yè)
joinable thread,可結(jié)合的線程
jump,跳轉(zhuǎn)
jump instructions/encodings,跳轉(zhuǎn)指令和它們的編碼
jump table,跳轉(zhuǎn)表
jump targets,跳轉(zhuǎn)目標(biāo)
K
K-best measurement scheme,K次最優(yōu)測(cè)量方法
Kernel,內(nèi)核
kernel mode,內(nèi)核模式
Kernel virtual memory,內(nèi)核虛擬存儲(chǔ)器
keyboard,鍵盤(pán)
L
L0 cache,L0高速緩存
label,for jump instructions,用于跳轉(zhuǎn)指令的符號(hào)
LANS,local area networks,局域網(wǎng)
last-in-first-out,LIFO,后進(jìn)先出
latency,執(zhí)行時(shí)間
lazy binding,延遲綁定
least-frequency-used(LFU)policy,最不常使用替換策略
least-recently-used(LRU)policy,最近最少使用替換策略
least squares fit,最小二乘方擬合
line matching,行匹配
line replacement on misses,不命中時(shí)的行替換
linear address spaces,線性地址空間
link-time errors ,and compilation system,鏈接時(shí)錯(cuò)誤,和編譯系統(tǒng)
linker phrase,連接階段
linkers,鏈接器
linking,鏈接
Linux Operating system,Linux操作系統(tǒng)
listening descriptors,compared to connected descriptors,監(jiān)聽(tīng)描述符,與已連接描述符的比較
listening socket,監(jiān)聽(tīng)套接字
little endian,小端法
load effective address,加載有效地址
load/store architecture,加載/存儲(chǔ)體系結(jié)構(gòu)
load time,加載時(shí)
loaders,加載器
load interlock,加載互鎖
local area networks,局域網(wǎng)
local automatic variable,本地自動(dòng)變量
local static variable,本地靜態(tài)變量
local symbols,本地符號(hào)
locality,局部性
lock-and-copy
locking a mutex,加鎖
logic,combinational,邏輯設(shè)計(jì)
logic gate,邏輯門(mén)
logic synthesis,邏輯綜合
logic synthesis programs,邏輯綜合程序
logical control flow,邏輯控制流
logical flow,邏輯流
lookback address,本地回環(huán)地址
loop inefficiencies,eliminating,消除循環(huán)的低效率
loop overhead,reducing,循環(huán)開(kāi)銷(xiāo),降低
loop splitting,循環(huán)分割
loop sunrolling,循環(huán)展開(kāi)
loops,循環(huán)
lower-case conversion routines,小寫(xiě)字母轉(zhuǎn)換函數(shù)
M
machine check exception,機(jī)器檢查異常
machine-language instructions機(jī)器語(yǔ)言指令
machine-level code,機(jī)器級(jí)代碼
machine-level representation of programs,程序的機(jī)器級(jí)表示
macroscope events,宏觀時(shí)間
main memory,主存
main threads,主線程
mangling,毀壞
mapping variables 頭memory,將變量映射到存儲(chǔ)器
Mark&Sweep garbage collectors,-Mark&Sweep垃圾收集器
Mark phase,標(biāo)記階段
Mark&Sweep algorithm,標(biāo)記和清除算法
masking operations,掩碼運(yùn)算
megahertz,兆赫茲
memory,存儲(chǔ)器
memory allocation,存儲(chǔ)器分割
memory bus,存儲(chǔ)器總線
memory controller,存儲(chǔ)器控制器
memory hierarchy,存儲(chǔ)器層次結(jié)構(gòu)
memory leaks,存儲(chǔ)器泄露
memory management,存儲(chǔ)器管理
memory management unit,MMU,存儲(chǔ)器管理單元
memory-mapped I/O,存儲(chǔ)器映射I/O
memory-mapped object,存儲(chǔ)器映射對(duì)象
memory modules,存儲(chǔ)器模塊
memory mountain,存儲(chǔ)器山
memory reference,存儲(chǔ)器引用
memory stage,訪問(wèn)階段
memory utilization,存儲(chǔ)器利用率
metadata,元數(shù)據(jù)
microscope events,微觀事件
microseconds,微秒
middle bits,indexing with,用中間的位來(lái)做索引
milliseconds,毫秒
MIME types,多用途的網(wǎng)際郵件擴(kuò)充協(xié)議
minimum block size,最小塊大小
mispredicted branches,預(yù)測(cè)錯(cuò)誤的轉(zhuǎn)移
miss penalty,不命中處罰
miss rate,不命中率
MMU,memory management unit,存儲(chǔ)器管理單元
mode,模式
mode bit,模式位
modern processors,現(xiàn)代處理器
monitor,監(jiān)控器
monotonically nondecreasing assumption,relaxing,單調(diào)不協(xié)調(diào)的假設(shè),放松
monotonicity,單調(diào)性
Moore's law,摩爾定律
motherboard,主板
mouse,鼠標(biāo)
multicycle instructions,多周期指令
multilevel page table,多級(jí)頁(yè)表
multiple zone recording,多區(qū)記錄
multiplexor,多路復(fù)用器
multiplication,乘法
multiprocess,多處理器
multitasking,多任務(wù)
mutex lock ordering rule,互斥鎖加鎖順序規(guī)則
mutex variables,互斥變量
metexes,互斥鎖
mutual exclusion,互斥
N
n,換行符
name demanding,名字恢復(fù)
name mangling,名字毀壞
nanoseconds(ns),納秒
neg operations,neg操作
negation,two's-complement,非,二進(jìn)制補(bǔ)碼的
negative overflow,負(fù)溢出
nested arrays,嵌套數(shù)組
network adapter,網(wǎng)絡(luò)適配器
network byte order,網(wǎng)絡(luò)字節(jié)順序
network client,網(wǎng)絡(luò)客戶(hù)端
network file system,NFS,網(wǎng)絡(luò)文件系統(tǒng)
network programming,網(wǎng)絡(luò)編程
networks,網(wǎng)絡(luò)
networks,網(wǎng)絡(luò)
network server,網(wǎng)絡(luò)服務(wù)器
newline character,換行符(n)
next fit,下次適配
NFS,network file system,網(wǎng)絡(luò)文件系統(tǒng)
nonexistent variables,referencing,不存在的變量,引用
nonlocal jumps,非本地跳轉(zhuǎn)
nonnegative floating-point numbers,examples of,非負(fù)浮點(diǎn)數(shù)的示例
normalized numbers,規(guī)格化數(shù)
not a number,NaN,不是一個(gè)數(shù)
notation,表示法
no-write-allocate,非寫(xiě)分配
no-write-allocate cache,非寫(xiě)分配高速緩存
NSF,national science foundation,國(guó)家科學(xué)基金會(huì)
numbers,數(shù)字
O
object,對(duì)象
object files,目標(biāo)文件
object modules,目標(biāo)模塊
OF,overflow flag condition code,溢出標(biāo)志條件碼
off-by-one errors,錯(cuò)位錯(cuò)誤
on-chip cache,芯片上的高速緩存
one's complement,一的補(bǔ)碼
opening/closing file,打開(kāi)和關(guān)閉文件
operand, forms,操作數(shù)格式
operand labels,操作數(shù)標(biāo)號(hào)
operand specifiers,操作數(shù)指示符
operating system,操作系統(tǒng)
operating system kernel,操作系統(tǒng)內(nèi)核
operations,操作
OPI instructions,OPI操作指令
optimization blocks,妨礙優(yōu)化的因素
order ,byte,順序,字節(jié)
ordered comparison,有序比較
origin server,原始服務(wù)器
OS,Operating system,操作系統(tǒng)
out-of-bounds memory references,存儲(chǔ)器的越界引用
out-of-order execution,亂序執(zhí)行
out-of-order processing,亂序處理
overflow,溢出
overload function,重載函數(shù)
P
P semaphore operation,P信號(hào)量操作
PA,physical address,物理地址
packets,包
padding ,blocks,填充塊
page,頁(yè)
page directory,頁(yè)面目錄
page directory base register,頁(yè)面目錄基址寄存器
page directory entry,頁(yè)面目錄條目
page fault exception,缺頁(yè)異常
page faults,缺頁(yè)
page frames,頁(yè)幀
page hits,頁(yè)命中
page table base register,頁(yè)表基址寄存器
page table entry,頁(yè)表?xiàng)l目
paged-in pages,頁(yè)面調(diào)入
paged-outpages,頁(yè)面調(diào)出
paging,頁(yè)面調(diào)度
parallel application,并行應(yīng)用
parallelism,并行性
parent process,父進(jìn)程
passing parameters to a function,向函數(shù)傳遞參數(shù)
patriot missle,愛(ài)國(guó)者導(dǎo)彈
payload,載荷
PC,program counter,程序計(jì)數(shù)器
PC-relative jump encoding,PC相關(guān)的跳轉(zhuǎn)編碼
PC selection stage PIPE,PIPE的PC選擇階段
PC update stage,PC更新階段
PCI,peripheral component interconnect,外圍部件互聯(lián)
PDBR,page directory base register,頁(yè)面目錄基址寄存器
PDE,page directory entry,頁(yè)面目錄條目
peak utilization metric,峰值利用率度量
peer thread,對(duì)等線程
pending bit vector,pending位向量
pending signal,待處理信號(hào)
peripheral component interconnect,PCI,外圍部件互聯(lián)
persistent connection,持久連接
physical address,物理地址
physical addressing,物理尋址
physical address space,物理地址空間
physical page number,PPN,物理頁(yè)號(hào)
physical pages,PPS,物理頁(yè)
PIC,position-independent code,位置無(wú)關(guān)的代碼
picoseconds,微微秒
PID,process ID,進(jìn)程ID
PIPE processor,PIPE處理器
pipeline,bubble,流水線,氣泡
pipeline,diagram,流水線圖
pipeline registers,流水線寄存器
pipeline function units,流水線化的功能單元
pipeline 流水線
pipes,管道
placement policy,替換策略
platters,盤(pán)片
PLT,procedure linkage table,過(guò)程鏈接表
pointer,指針
point-to-point connections,點(diǎn)對(duì)點(diǎn)的連接
pointer arithmetic,指針運(yùn)算
pointer code,指針代碼
polluting a cache,污染高速緩存
pool of peer threads,對(duì)等線程池
portable executable format,可移植可執(zhí)行(PE)格式
ports,端口
position-independent code,PIC,位置無(wú)關(guān)的代碼
positive overflow,正溢出
posix-style error handling,posix風(fēng)格的處理錯(cuò)誤
powers of two,2的冪數(shù)
PP,physical page,物理頁(yè)
PPN,physical page number,物理頁(yè)號(hào)
PPO,physical page offset,物理頁(yè)面偏移
preemption,搶占
prefetching,in cache,在高速緩存中預(yù)取
proprocessor,預(yù)處理器
Proprocessor phrase,預(yù)處理階段
prethreading,預(yù)線程化
principle of locality,局部性原理
printed circuit board,印刷電路板
printf,formatted print,格式化輸出
printing,formatted,輸出,格式化
priority,forwarding logic,優(yōu)先級(jí),前遞邏輯
private copy-on-write,私有的寫(xiě)時(shí)拷貝
private declarations,private聲明
private objects,私有對(duì)象
privileged instructions,特權(quán)指令
procedure call,reducing,過(guò)程調(diào)用,減少
procedure definition and call,example of,過(guò)程定義和調(diào)用的示例
procedure linkage table,過(guò)程鏈接表
process,context,過(guò)程上下文
process group,過(guò)程組
process ID,進(jìn)程ID
process scheduling,進(jìn)程調(diào)度
process-specific cycle timing,與進(jìn)程相關(guān)的周期計(jì)時(shí)
processing of operations, by the execution unit,執(zhí)行單元的操作處理
processor architecture,處理器體系結(jié)構(gòu)
processor control logic,處理器控制邏輯
processor-memory gap,處理器與主存之間的速度差異
processor state,處理器狀態(tài)
processors,處理器
process table,進(jìn)程表
producer-consumer model,生產(chǎn)者-消費(fèi)者模型
product operation,求積運(yùn)算
profiling,剖析
program code and data,程序代碼和數(shù)據(jù)
program counter(PC),程序計(jì)數(shù)器
program development,程序開(kāi)發(fā)
program encodings,程序編碼
program execution time,程序執(zhí)行時(shí)間
program memory,程序存儲(chǔ)器
program objects,程序?qū)ο?program performance,程序性能
program performance optimization,程序性能優(yōu)化
program profiling,程序剖析
program registers,程序寄存器
programmable ROM,可編程ROM(PROM)
progress graph,進(jìn)度圖
prologue block,序言塊
PROM,programmable ROM,可編程ROM
protocol,協(xié)議
protocol software,協(xié)議軟件
proxy cache,代理緩存
proxy chain,代理鏈
ps,picoseconds,微微秒
PTBR,page table base register,頁(yè)表基址寄存器
Q
quad words,四字
quoted text,in HCL,引號(hào)起來(lái)的文本,在HCL中
quote,insert quoted text from HCL to C file,quote從HCL文件插入引起來(lái)的文本到C文件中
R
race,競(jìng)爭(zhēng)
RAM,random-access memory,隨機(jī)訪問(wèn)存儲(chǔ)器
rand,rand函數(shù)
random-access memory,RAM,隨機(jī)訪問(wèn)存儲(chǔ)器
random replacement policy,隨機(jī)替換策略
RAS,row access strobe,行訪問(wèn)選通脈沖
reachability graph,可達(dá)圖
read bandwidth,讀寬帶
reading a disk sector,讀一個(gè)磁盤(pán)扇區(qū)
read-only memories,只讀存儲(chǔ)器
read operations,讀操作
readports,讀端口
read set,讀集合
read throughput,讀吞吐量
read/write head,讀/寫(xiě)頭
reading/writing files,讀/寫(xiě)文件
ready read descriptors,準(zhǔn)備好的讀描述符
ready set,準(zhǔn)備好的集合
reaping,回收
reaping child processes,回收子進(jìn)程
receiving signals,接收信號(hào)
recording zones,記錄區(qū)
recursive procedure,遞歸進(jìn)程
reentrant function,可重入函數(shù)
reference bit,引用位
reference count,引用計(jì)數(shù)
reference function parameter,函數(shù)的引用參數(shù)
reference to program data,locality of,對(duì)程序數(shù)據(jù)的引用,局部性
register file,寄存器堆
register identifier(ID),寄存器標(biāo)識(shí)符(ID)
register operation,寄存器操作
register renaming,寄存器重命名
register specifier byte,寄存器指示符字節(jié)
register spilling,寄存器溢出
register usage convention,寄存器使用慣例
regular file,普通文件
relative performance,expressing,表示相對(duì)性能
reliable connections,可靠的連接
relocatble object files,可重定位目標(biāo)文件
relocation,重定位
replacement policy,替換策略
replacing blocks,替換塊
request,請(qǐng)求
request headers,請(qǐng)求報(bào)頭
request line,請(qǐng)求行
resident set,常駐集合
resolution of a function,函數(shù)的分辨度
resolution timer,計(jì)時(shí)器的分辨度
resource,資源
response,響應(yīng)
response body,響應(yīng)主體
response headers,響應(yīng)報(bào)頭
response line,響應(yīng)行
restrictive placement policies,限制性的放置策略
retiming,circuit,重定時(shí),電路
retiring instructions,退役指令
return address,返回地址
return address prediction with a stack,使用棧堆返回地址預(yù)測(cè)
reverse engineering,逆向工程
revolutions per minutes,RPM,轉(zhuǎn)每分鐘
RFC,request for comments,請(qǐng)求注解
root nodes,根節(jié)點(diǎn)
rotational latency,旋轉(zhuǎn)時(shí)間
rotational rate,選擇速率
round-down mode,向下舍入方式
rounding,舍入
round-to-even,向偶數(shù)舍入
round-to-nearest,向最接近的值舍入
round-toward-zero,向零舍入
round-up,向上舍入
routers,路由器
row access strobe,行訪問(wèn)選通脈沖
row major order,行優(yōu)先順序
RPM,revolutions per minute,轉(zhuǎn)每分鐘
RPN,reverse polish notation,pocket calculation,逆波蘭表示(RPN)袖珍計(jì)算器
running process,處于運(yùn)行狀態(tài)的進(jìn)程
run time,運(yùn)行時(shí)
S
safe trajectory,安全軌線
SBUF,shared buffer package,共享緩沖區(qū)包
scalar data types,標(biāo)量數(shù)據(jù)類(lèi)型
scalar IP address,標(biāo)量IP地址
scale factor,in memory reference存儲(chǔ)器引用中的伸縮因子
schedule,調(diào)度表
scheduling,調(diào)度
scheduling of operations,調(diào)度操作
scheduling policy,調(diào)度策略
scud missile,飛毛腿導(dǎo)彈
second-level domain names,第二層域名
section header table,節(jié)頭部表
sectors,扇區(qū)
security holes,and compilation systems,安全漏洞和編譯系統(tǒng)
seek,尋道
seek operation,文件的seek操作
seek time,尋道時(shí)間
segment,段
segmentation faults,段故障
segment header table,段頭表
segregated free lists,分離的空閑鏈表
segregated fits,分離適配
segregated storage,分離存儲(chǔ)
self-loop,自循環(huán)
self-modifying,自我修改代碼
semaphore,信號(hào)量
sending signals,發(fā)送信號(hào)
separate compilation,分離編譯
sequential circuits,時(shí)序電路
server,服務(wù)器
serving dynamic content,服務(wù)動(dòng)態(tài)內(nèi)容
serving staticcontent,服務(wù)靜態(tài)內(nèi)容
set-associative caches,組相連高速緩存
set index bits,組索引位
set membership,集合關(guān)系
set membership test,inHCL,集合成員關(guān)系測(cè)試,在HCL中
set section,組選擇
SF,sign flag condition code符號(hào)標(biāo)志條件
shared area,共享區(qū)域
shared libraries,共享庫(kù)
shared object files,共享目標(biāo)文件
shared object,共享對(duì)象
shared variables,共享變量
shift,arithmetic,算術(shù)移位
shift,operation,移位操作
side effect,副作用
sign bit,信號(hào)位
sign extension,信號(hào)擴(kuò)展
significand,有效數(shù)
sign-magnitude representation,符號(hào)數(shù)值表示
signal handler,信號(hào)處理程序
signal handler issues,信號(hào)處理問(wèn)題
signed numbers,alternative representations of,有符號(hào)數(shù),其它表示方法
SIMM,single inline memory module,單列直插存儲(chǔ)器模塊
simple segregated storage,簡(jiǎn)單分離存儲(chǔ)
single-bit multiplexor circuit,單個(gè)位的多路復(fù)用電路
single precision value,單精度值
size classes,大小類(lèi)
slow system call,慢速系統(tǒng)調(diào)用
socket address,套接字地址
socket descriptor,套接字描述符
socket pair,套接字對(duì)
socket interface,套接字接口
software exception,軟件異常
source file,源文件
source host,源主機(jī)
source program,源程序
Soviet union,蘇聯(lián)
spatial locality,空間局部性
special arithmetic operations,特殊的算術(shù)操作
special control cases,特殊控制情況
special control conditions,特殊控制狀況
speculative execution,投機(jī)執(zhí)行
spilling 溢出
spindle,主軸
splitting,分割
squash ,mispredicted branch handling,排除,預(yù)測(cè)錯(cuò)誤的轉(zhuǎn)移處理
stack-based interpreters ,基于棧的解釋器
stack frame structure,棧幀結(jié)構(gòu)
stack operation,illustration of,棧操作說(shuō)明
stack pointer,棧指針
stall,暫停
standard C library,標(biāo)準(zhǔn)C庫(kù)
standard data types,sizes of,標(biāo)準(zhǔn)數(shù)據(jù)類(lèi)型的大小
standard error,標(biāo)準(zhǔn)錯(cuò)誤
standard input,標(biāo)準(zhǔn)輸入
standard I/O librariy,標(biāo)準(zhǔn)I/O庫(kù)
standard output,標(biāo)準(zhǔn)輸出
startup code,啟動(dòng)代碼
state,狀態(tài)
state machine,狀態(tài)機(jī)
state transition,狀態(tài)轉(zhuǎn)換
static content,靜態(tài)目錄
static libraries,靜態(tài)庫(kù)
static linker,靜態(tài)鏈接器
static linking,靜態(tài)鏈接
static RAM,靜態(tài)RAM
static random access memory,SRAM,靜態(tài)隨機(jī)訪問(wèn)存儲(chǔ)器
static variable,local,靜態(tài)變量,本地或局部
status code,狀態(tài)碼
status message,狀態(tài)信息
storage devices,memory hierarchy,存儲(chǔ)設(shè)備,存儲(chǔ)器層次結(jié)構(gòu)
storage technologies,存儲(chǔ)技術(shù)
store buffer,存儲(chǔ)緩沖區(qū)
stream,流
stream buffer,流緩沖區(qū)
streaming media,流媒體
streaming media workloads,and cache,高速緩存和流媒體工作負(fù)載
strings,representation,字符串表示
strong symbols,強(qiáng)符號(hào)
structures,結(jié)構(gòu)
subdomain,子域
supercell,超單元
super scalar processing,超標(biāo)量處理
super scalar processor,超標(biāo)量處理器
supervisor mode,超級(jí)用戶(hù)模式
surface,表面
suspended process,被掛起的進(jìn)程
swap area,交換區(qū)域
swap file,交換文件
swap space(area),交換空間(區(qū)域)
swapped-in pages,換入頁(yè)
swapped-outpages,換出頁(yè)
swapping,交換
swap space,交換空間
sweep function ,sweep函數(shù)
sweep phrase,清除階段
symbol resolution,符號(hào)解析
symbol table,符號(hào)表
synchronization errors,同步錯(cuò)誤
synchronous exception,同步異常
system bus,系統(tǒng)總線
system calls,系統(tǒng)調(diào)用
system-level functions,系統(tǒng)級(jí)函數(shù)
system-level I/O,系統(tǒng)級(jí)I/O
system V semaphore,系統(tǒng)V信號(hào)量
system V shared memory,系統(tǒng)V共享存儲(chǔ)器
system V Unix
T
table ,jump,跳轉(zhuǎn)表
tag bits,標(biāo)記位
target,jump,跳轉(zhuǎn)目標(biāo)
TCP,transmission control protocol,傳輸控制協(xié)議
TCP/IP protocol,TCP/IP協(xié)議
temporal locality,時(shí)間局部性
terminated process,已終止進(jìn)程
terminated threads,已終止線程
reaping,回收
text files,文本文件
text line,文本行
thrashing,抖動(dòng)
thread context,線程上下文
thread ID,TID,線程ID
thread safety,線程安全性
threaded programs,shared variables in,多線程程序中的共享變量
threads,線程
threads memory model,線程存儲(chǔ)器模型
thread terminational,線程終止
three-bit unsigned multiplication,三位無(wú)符號(hào)乘法
throughput,吞吐量
TID,thread ID,線程ID
time,interval,時(shí)間間隔
time-of-day measurement,基于get-time-of-day函數(shù)的測(cè)量
time segment,時(shí)間段
time slice,時(shí)間片
timer,計(jì)時(shí)器
timer interrupt handling,compensating for,補(bǔ)償對(duì)計(jì)時(shí)器中斷的處理
timer interrupts,計(jì)時(shí)器中斷
TLB,translation lookaside buffer,翻譯后背緩沖器
touch a page,引用 一個(gè)頁(yè)面
trace,跟蹤文件
track density,磁道密度
tracks 磁道
trajectory,軌線
transaction,事物
transfer time,傳送時(shí)間
transfer units,傳送單元
translation lookaside buffer,TLB,翻譯后背緩沖器
transmission control protocol,TCP,傳輸控制協(xié)議
traps,現(xiàn)金
two's complement addition,二進(jìn)制補(bǔ)碼的加法
two's complement form,二進(jìn)制補(bǔ)碼形式
two's complement multiplication,二進(jìn)制補(bǔ)碼乘法
two's complement negation,二進(jìn)制補(bǔ)碼的非
U
UDP,unreliable datagram protocol,不可靠數(shù)據(jù)報(bào)協(xié)議
uncontrolled virtual pages,未分配的虛擬頁(yè)
unary operations,一元操作
uncached virtual pages,未緩存的虛擬頁(yè)
underflow ,gradual,逐漸的下溢出
Unicode,統(tǒng)一字符編碼標(biāo)準(zhǔn)
unified caches,統(tǒng)一的高速緩存
uninitialized global C variables,未初始化的全局C變量
unions,聯(lián)合
united states,美國(guó)
universal serial bus,USB,通用串行總線
Unix operating system,Unix 操作系統(tǒng)
unlocking a mutex,解鎖
unneeded memory references,eliminating,消除不必要的存儲(chǔ)器引用
unordered comparison,無(wú)序比較
unreliable datagram protocol,UDP,不可靠數(shù)據(jù)報(bào)協(xié)議
unrolling,loop,循環(huán)的展開(kāi)
unsafe region,不安全區(qū)
unsafe trajectory,不安全軌線
unsigned,無(wú)符號(hào)
unsigned addition,無(wú)符號(hào)加法
unsigned encoding,無(wú)符號(hào)編碼
unsigned multiplication,無(wú)符號(hào)乘法
unsigned to binary conversion,無(wú)符號(hào)到二進(jìn)制的轉(zhuǎn)換
unsigned to two's complement conversion,無(wú)符號(hào)到二進(jìn)制補(bǔ)碼的轉(zhuǎn)換
URI,uniform resource identifier,統(tǒng)一資源標(biāo)識(shí)符
URL,uniform resource locator,統(tǒng)一資源定位符
USB,universal serial bus,通用串行總線
user mode,用戶(hù)模式
user stack,用戶(hù)棧
V
V semaphore operation,V信號(hào)量操作
VA,virtual address,虛擬地址
valid bit,有效位
variables,變量
variable rate clocks,可變的時(shí)鐘頻率
vector abstract data type,向量的抽象數(shù)據(jù)類(lèi)型
vector sum functions,向量求和函數(shù)
victim block,犧牲塊
virtual address space,虛擬地址空間
virtual address,虛擬地址
virtual addressing,虛擬須知
virtual memory,虛擬存儲(chǔ)器
virtual page number,VPN,虛擬頁(yè)號(hào)
virtual page offset,虛擬頁(yè)面偏移
virtual pages,VPs,虛擬頁(yè)
viruses,病毒
VP,virtual page,虛擬頁(yè)
VPN,virtual page number,虛擬頁(yè)號(hào)
VPO,virtual page offset,虛擬頁(yè)面偏移
VRAM,video RAM,視頻RAM
W
wait set,等待幾何
WANs,wide area networks,廣域網(wǎng)
warming up a cache ,使緩存變暖
weak symbols,弱符號(hào)
web client,web客戶(hù)端
web servers,web服務(wù)器
well-known ports知名端口
word-level combinational circuits,字級(jí)的組合電路
word-level equality test,字級(jí)相等測(cè)試電路
word-level multiplexor circuit,字級(jí)多路復(fù)用電路
words,字
word selection,字選擇
word size,字大小
working set,工作集
world wide web,WWW,origins of,萬(wàn)維網(wǎng),起源
worms,蠕蟲(chóng)
wrapper,error-handling,錯(cuò)誤封裝處理
write-allocate caches,寫(xiě)分配高速緩存
write-back-caches,寫(xiě)回高速緩存
write-back stage,寫(xiě)回階段
write-hit,寫(xiě)命中
write operation,寫(xiě)操作
write ports,寫(xiě)端口
write/read dependency,讀/寫(xiě)相關(guān)
write-through,直寫(xiě)
write transaction,寫(xiě)事務(wù)
X
(無(wú))
Y
(無(wú))
Z
zero extension,零擴(kuò)展
ZF,zero flag condition code,零標(biāo)志條件碼
zombies process,僵死進(jìn)程
關(guān)鍵詞:計(jì)算機(jī)專(zhuān)業(yè)英語(yǔ),計(jì)算機(jī),計(jì)算機(jī)英語(yǔ),計(jì)算機(jī),計(jì)算機(jī)專(zhuān)業(yè)術(shù)語(yǔ)
參考文獻(xiàn)
布賴(lài)恩特, O'Hallaron D, et al. 深入理解計(jì)算機(jī)系統(tǒng)[M]. 中國(guó)電力出版社, 2004.
Bryant R, David Richard O H, David Richard O H. Computer systems: a programmer's perspective[M]. Upper
Saddle River: Prentice Hall, 2003.
欲知詳情,請(qǐng)下載word文檔
下載文檔
本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專(zhuān)欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
9月2日消息,不造車(chē)的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。
關(guān)鍵字:
阿維塔
塞力斯
華為
加利福尼亞州圣克拉拉縣2024年8月30日 /美通社/ -- 數(shù)字化轉(zhuǎn)型技術(shù)解決方案公司Trianz今天宣布,該公司與Amazon Web Services (AWS)簽訂了...
關(guān)鍵字:
AWS
AN
BSP
數(shù)字化
倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車(chē)技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車(chē)工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車(chē)。 SODA V工具的開(kāi)發(fā)耗時(shí)1.5...
關(guān)鍵字:
汽車(chē)
人工智能
智能驅(qū)動(dòng)
BSP
北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶(hù)希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...
關(guān)鍵字:
亞馬遜
解密
控制平面
BSP
8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。
關(guān)鍵字:
騰訊
編碼器
CPU
8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。
關(guān)鍵字:
華為
12nm
EDA
半導(dǎo)體
8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱(chēng),數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。
關(guān)鍵字:
華為
12nm
手機(jī)
衛(wèi)星通信
要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...
關(guān)鍵字:
通信
BSP
電信運(yùn)營(yíng)商
數(shù)字經(jīng)濟(jì)
北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...
關(guān)鍵字:
VI
傳輸協(xié)議
音頻
BSP
北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱(chēng)"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...
關(guān)鍵字:
BSP
信息技術(shù)
山海路引?嵐悅新程 三亞2024年8月27日 /美通社/ --?近日,海南地區(qū)六家凱悅系酒店與中國(guó)高端新能源車(chē)企嵐圖汽車(chē)(VOYAH)正式達(dá)成戰(zhàn)略合作協(xié)議。這一合作標(biāo)志著兩大品牌在高端出行體驗(yàn)和環(huán)保理念上的深度融合,將...
關(guān)鍵字:
新能源
BSP
PLAYER
ASIA
上海2024年8月28日 /美通社/ -- 8月26日至8月28日,AHN LAN安嵐與股神巴菲特的孫女妮可?巴菲特共同開(kāi)啟了一場(chǎng)自然和藝術(shù)的療愈之旅。 妮可·巴菲特在療愈之旅活動(dòng)現(xiàn)場(chǎng)合影 ...
關(guān)鍵字:
MIDDOT
BSP
LAN
SPI
8月29日消息,近日,華為董事、質(zhì)量流程IT總裁陶景文在中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開(kāi)幕式上表示,中國(guó)科技企業(yè)不應(yīng)怕美國(guó)對(duì)其封鎖。
關(guān)鍵字:
華為
12nm
EDA
半導(dǎo)體
上海2024年8月26日 /美通社/ -- 近日,全球領(lǐng)先的消費(fèi)者研究與零售監(jiān)測(cè)公司尼爾森IQ(NielsenIQ)迎來(lái)進(jìn)入中國(guó)市場(chǎng)四十周年的重要里程碑,正式翻開(kāi)在華發(fā)展新篇章。自改革開(kāi)放以來(lái),中國(guó)市場(chǎng)不斷展現(xiàn)出前所未有...
關(guān)鍵字:
BSP
NI
SE
TRACE
上海2024年8月26日 /美通社/ -- 第二十二屆跨盈年度B2B營(yíng)銷(xiāo)高管峰會(huì)(CC2025)將于2025年1月15-17日在上海舉辦,本次峰會(huì)早鳥(niǎo)票注冊(cè)通道開(kāi)啟,截止時(shí)間10月11日。 了解更多會(huì)議信息:cc.co...
關(guān)鍵字:
BSP
COM
AI
INDEX
上海2024年8月26日 /美通社/ -- 今日,高端全合成潤(rùn)滑油品牌美孚1號(hào)攜手品牌體驗(yàn)官周冠宇,開(kāi)啟全新旅程,助力廣大車(chē)主通過(guò)駕駛?cè)ヌ剿鞲鼜V闊的世界。在全新發(fā)布的品牌視頻中,周冠宇及不同背景的消費(fèi)者表達(dá)了對(duì)駕駛的熱愛(ài)...
關(guān)鍵字:
BSP
汽車(chē)制造
此次發(fā)布標(biāo)志著Cision首次為亞太市場(chǎng)量身定制全方位的媒體監(jiān)測(cè)服務(wù)。 芝加哥2024年8月27日 /美通社/ -- 消費(fèi)者和媒體情報(bào)、互動(dòng)及傳播解決方案的全球領(lǐng)導(dǎo)者Cis...
關(guān)鍵字:
CIS
IO
SI
BSP
上海2024年8月27日 /美通社/ -- 近來(lái),具有強(qiáng)大學(xué)習(xí)、理解和多模態(tài)處理能力的大模型迅猛發(fā)展,正在給人類(lèi)的生產(chǎn)、生活帶來(lái)革命性的變化。在這一變革浪潮中,物聯(lián)網(wǎng)成為了大模型技術(shù)發(fā)揮作用的重要陣地。 作為全球領(lǐng)先的...
關(guān)鍵字:
模型
移遠(yuǎn)通信
BSP
高通
北京2024年8月27日 /美通社/ -- 高途教育科技公司(紐約證券交易所股票代碼:GOTU)("高途"或"公司"),一家技術(shù)驅(qū)動(dòng)的在線直播大班培訓(xùn)機(jī)構(gòu),今日發(fā)布截至2024年6月30日第二季度未經(jīng)審計(jì)財(cái)務(wù)報(bào)告。 2...
關(guān)鍵字:
BSP
電話會(huì)議
COM
TE
8月26日消息,華為公司最近正式啟動(dòng)了“華為AI百校計(jì)劃”,向國(guó)內(nèi)高校提供基于昇騰云服務(wù)的AI計(jì)算資源。
關(guān)鍵字:
華為
12nm
EDA
半導(dǎo)體