半導(dǎo)體設(shè)備和材料供應(yīng)商盤點︱產(chǎn)業(yè)鏈專題
半導(dǎo)體設(shè)備主要運用于集成電路的制造和封測兩個流程,分為晶圓加工設(shè)備、檢測設(shè)備和封裝設(shè)備,以晶圓加工設(shè)備為主。檢測設(shè)備在晶圓加工環(huán)節(jié)(前道檢測)和封測環(huán)節(jié)(后道檢測)均有使用。
晶圓加工流程包括氧化、光刻和刻蝕、離子注入和退火、氣相沉積和電鍍、化學(xué)機(jī)械研磨、晶圓檢測。所用設(shè)備包括氧化/擴(kuò)散爐、光刻機(jī)、刻蝕機(jī)、離子注入機(jī)、薄膜沉積設(shè)備(PVD和CVD)、檢測設(shè)備等。
據(jù)平安證券2019年10月份的報告顯示,晶圓廠投資總金額中,設(shè)備投資占比70%-80%,基建和潔凈室投資占比20%-30%。目前在建的8寸和12寸晶圓廠,總投資金額超過900億美元,按照70%的比例測算,累計的相關(guān)設(shè)備投資超過630億美元。
在市場規(guī)模方面,據(jù)SEMI數(shù)據(jù),2018年全球半導(dǎo)體設(shè)備市場達(dá)到645.5億美元,同比增長14%。SEMI預(yù)計2019年全球市場有所調(diào)整,2020年將重回增長。區(qū)域分布上,韓國、中國大陸、中國臺灣、日本、北美、歐洲分別占比27%、20%、16%、15%、9%、7%。2018年中國大陸首次超過中國臺灣地區(qū),成為全球半導(dǎo)體設(shè)備第二大市場。

半導(dǎo)體設(shè)備分為晶圓加工設(shè)備、檢測設(shè)備、封裝設(shè)備和其他設(shè)備。SEMI預(yù)計,2019年全球晶圓加工設(shè)備、檢測設(shè)備和封裝設(shè)備市場規(guī)模分別為422億美元、47億美元和31億美元。晶圓加工設(shè)備是主要設(shè)備,占全部設(shè)備比重約80%。
半導(dǎo)體材料
半導(dǎo)體材料是指電導(dǎo)率介于金屬與絕緣體之間的材料,半導(dǎo)體材料的電導(dǎo)率在歐/厘米之間,一般情況下電導(dǎo)率隨溫度的升高而增大。半導(dǎo)體材料是制作晶體管、集成電路、電力電子器件、光電子器件的重要材料。
半導(dǎo)體材料市場可以分為晶圓材料和封裝材料市場。其中,晶圓材料主要有硅片、光掩膜、光刻膠、光刻膠輔助設(shè)備、濕制程、濺射靶、拋光液、其他材料。封裝材料主要有層壓基板、引線框架、焊線、模壓化合物、底部填充料、液體密封劑、粘晶材料、錫球、晶圓級封裝介質(zhì)、熱接口材料。
在半導(dǎo)體材料領(lǐng)域,由于高端產(chǎn)品技術(shù)壁壘高,國內(nèi)企業(yè)長期研發(fā)投入和積累不足,我國半導(dǎo)體材料在國際分工中多處于中低端領(lǐng)域,高端產(chǎn)品市場主要被歐美日韓臺等少數(shù)國際大公司壟斷,比如:硅片全球市場前六大公司的市場份額達(dá)90%以上,光刻膠全球市場前五大公司的市場份額達(dá)80%以上,高純試劑全球市場前六大公司的市場份額達(dá)80%以上,CMP材料全球市場前七大公司市場份額達(dá)90%。
半導(dǎo)體材料包括半導(dǎo)體制造材料與半導(dǎo)體封測材料,2019年4月2日,SEMI Materials Market Data Subscription公布全球半導(dǎo)體材料2018年銷售額為519億美元,同比增長10.6%,超過2011年471億美元的歷史高位。其中,晶圓制造材料和封測材料的銷售額分別為322億美元和197億美元,同比增長率分別為15.9%和3.0%。2009年,制造材料市場規(guī)模與封測材料市場規(guī)模相當(dāng),從此至今,制造材料市場規(guī)模增速一直高于封測材料市場增速。經(jīng)過近十年發(fā)展,制造材料市場規(guī)模已達(dá)封測材料市場規(guī)模的1.62倍。

根據(jù)SEMI預(yù)測,2019年硅片、電子氣體、光掩膜、光刻膠配套化學(xué)品的銷售額分別為123.7億美元、43.7億美元、41.5億美元、22.8億美元,分別占全球半導(dǎo)體制造材料行業(yè)37.29%、13.17%、12.51%、6.87%的市場份額。其中,半導(dǎo)體硅片占比最高,為半導(dǎo)體制造的核心材料。

產(chǎn)業(yè)鏈廠商

點擊可放大
廠商名錄
半導(dǎo)體前端設(shè)備
光刻
中國大陸:
上海微電子(SMEE):上海微電子是在國家科技部和上海市政府共同推動下,由國內(nèi)多家企業(yè)集團(tuán)和投資公司共同投資組建的高科技企業(yè)。公司成立于2002年,主要從事半導(dǎo)體裝備、泛半導(dǎo)體裝備以及高端智能裝備的設(shè)計制造銷售,其中光刻設(shè)備是公司的主營業(yè)務(wù)。公司在光刻設(shè)備領(lǐng)域擁有全國最先進(jìn)的技術(shù)。目前公司光刻機(jī)可以應(yīng)用于集成電路產(chǎn)業(yè)鏈中晶圓制造、封裝測試,以及平板顯示、高亮度 LED 等領(lǐng)域。
歐洲:
阿斯麥(ASML):總部位于荷蘭艾恩德霍芬,是全球最大的半導(dǎo)體設(shè)備制造商之一,為半導(dǎo)體生產(chǎn)商提供光刻機(jī)及相關(guān)服務(wù),TWINSCAN系列是目前世界上精度最高,生產(chǎn)效率最高,應(yīng)用最為廣泛的高端光刻機(jī)型。目前全球絕大多數(shù)半導(dǎo)體生產(chǎn)廠商,都向ASML采購TWINSCAN機(jī)型。
ASML的產(chǎn)品線分為PAS系列,AT系列,XT系列和NXT系列。市場上的主力機(jī)種是XT系列以及NXT系列,為ArF和KrF激光光源,XT系列是成熟的機(jī)型,分為干式和沉浸式兩種,而NXT系列則是現(xiàn)在主推的高端機(jī)型,全部為沉浸式。目前已經(jīng)商用的最先進(jìn)機(jī)型是Twinscan NXT 1950i,屬于沉浸式光刻機(jī),用來生產(chǎn)關(guān)鍵尺度低于38納米的集成電路。
目前市場上提供量產(chǎn)商用的光刻機(jī)廠商有三家:ASML、尼康、佳能。根據(jù)2007年的統(tǒng)計數(shù)據(jù),在中高端光刻機(jī)市場,ASML占據(jù)大約60%的市場份額。而最高端市場(例如沉浸式光刻機(jī)),ASML大約目前占據(jù)80%的市場份額。2007年收購睿初(Brion)科技,2012年10月收購Cymer公司。
日本:
尼康(Nikon):尼康是日本著名的相機(jī)制造商,成立于1917年,同時也是分步重復(fù)半導(dǎo)體生產(chǎn)設(shè)備(分檔器)的制造商,世界上僅有的三家能夠制造商用光刻機(jī)的公司。
尼康的G-line、I-line步進(jìn)式光刻機(jī)(stepper)、投影式光刻機(jī)在全球晶圓廠大量使用。
佳能(Canon):半導(dǎo)體器件制造中最重要的步驟是光刻,其中通過通常稱為步進(jìn)機(jī)或掃描儀的精密半導(dǎo)體光刻設(shè)備將電路圖案從掩模轉(zhuǎn)移到晶圓或面板。
佳能開發(fā)了一系列的半導(dǎo)體光刻設(shè)備,旨在滿足傳統(tǒng)半導(dǎo)體晶圓加工之外的廣泛應(yīng)用的技術(shù)要求。產(chǎn)品包括KrF掃描儀/步進(jìn)器、適用于前端(FEOL)應(yīng)用的i-line步進(jìn)器、適用于后端(BEOL)和高級包裝應(yīng)用的i-line步進(jìn)器。
沉積
中國大陸:
北方華創(chuàng)(Naura):北方華創(chuàng)是由七星電子和北方微電子戰(zhàn)略重組而成,是目前國內(nèi)集成電路高端工藝裝備的領(lǐng)先企業(yè)。
北方華創(chuàng)主營半導(dǎo)體裝備、真空裝備、新能源鋰電裝備及精密元器件業(yè)務(wù),為半導(dǎo)體、新能源、新材料等領(lǐng)域提供解決方案。公司現(xiàn)有四大產(chǎn)業(yè)制造基地,營銷服務(wù)體系覆蓋歐、美、亞等全球主要國家和地區(qū)。
拓荊科技(Piotech):沈陽拓荊科技有限公司成立于2010年4月,是由海外專家團(tuán)隊和中科院所屬企業(yè)共同發(fā)起成立的國家高新技術(shù)企業(yè)。2016年、2017年連續(xù)兩年獲評“中國半導(dǎo)體設(shè)備五強(qiáng)企業(yè)”。公司擁有12英寸PECVD(等離子體化學(xué)氣相沉積設(shè)備)、ALD(原子層薄膜沉積設(shè)備)、3DNANDPECVD(三維結(jié)構(gòu)閃存專用PECVD設(shè)備)三個完整系列產(chǎn)品,技術(shù)指標(biāo)達(dá)到國際先進(jìn)水平。產(chǎn)品廣泛應(yīng)用于集成電路前道和后道、TSV封裝、光波導(dǎo)、LED、3D-NAND閃存、OLED顯示等高端技術(shù)領(lǐng)域。
美國:
泛林集團(tuán)(Lam Research):泛林集團(tuán)于1980年由林大衛(wèi)博士創(chuàng)辦,總部位于美國加州的弗里蒙特,是一家從事集成電路制造、制造、銷售和服務(wù)的美國公司,是半導(dǎo)體產(chǎn)業(yè)提供晶圓制造設(shè)備和服務(wù)的主要供應(yīng)商之一。其產(chǎn)品廣泛分布于半導(dǎo)體制造,包括薄膜沉積、等離子蝕刻、光刻膠帶、晶圓清洗等設(shè)備的設(shè)計和制造方面。
應(yīng)用材料公司(Applied Materials):應(yīng)用材料公司是全球最大的半導(dǎo)體設(shè)備和服務(wù)供應(yīng)商,創(chuàng)建于1967年,總部位于美國加利福尼亞州圣克拉拉,主要為全球的半導(dǎo)體行業(yè)開發(fā)、制造、行銷并維修半導(dǎo)體晶圓制造設(shè)備及相關(guān)備件。
應(yīng)用材料的客戶包括半導(dǎo)體晶圓與集成電路制造商、平面液晶顯示器、太陽能電池與模塊等所有的制造商。應(yīng)用材料公司還是全球半導(dǎo)體行業(yè)中最大且最成功的硅片制造、檢測設(shè)備和掩膜設(shè)備制造商,同時提供尖端生產(chǎn)工藝、高效服務(wù)和先進(jìn)軟件產(chǎn)品。應(yīng)用材料的產(chǎn)品對于全球的半導(dǎo)體集成電路市場具有舉足輕重的地位和意義,全球知名的半導(dǎo)體企業(yè)均采用應(yīng)用材料的設(shè)備和服務(wù)生產(chǎn)集成電路產(chǎn)品。應(yīng)用材料公司已經(jīng)連續(xù)15 年名列全球半導(dǎo)體設(shè)備供應(yīng)商第一名。
韓國:
Wonik IPS:WONIK IPS于1998年在世界上首次成功實現(xiàn)了ALD設(shè)備的量產(chǎn),同時躋身半導(dǎo)體設(shè)備領(lǐng)域的核心企業(yè),并于2014年在半導(dǎo)體CVD設(shè)備開發(fā)及量產(chǎn)上取得了成功,提高了占有率。
而且,除半導(dǎo)體設(shè)備外還具備Display的干式蝕刻機(jī)( Dry Etcher )、PE-CVD 及太陽能電池( Solar Cell )的有/無機(jī)蒸鍍機(jī)領(lǐng)域等多方面的產(chǎn)品組合,作為綜合設(shè)備公司脫穎而出。2014年在需求日益增長的3D NANS Flash領(lǐng)域的核心生產(chǎn)設(shè)備Mold工藝設(shè)備的量產(chǎn)化上取得了成功,并于2018年成功進(jìn)入10納米工藝的DRAM High-K市場。
PSK:PSK自1990年成立以來,一直從事于半導(dǎo)體設(shè)備的研發(fā)和生產(chǎn),是的半導(dǎo)體專業(yè)生產(chǎn)企業(yè)。公司向全世界20多家半導(dǎo)體元件企業(yè)和封裝企業(yè)利用電漿方式(Plasma),的灰化(Ashing)、清洗(Dry Cleaning)、 蝕刻(Etching) 工藝和用于封裝工藝的WLP Descum、TSV Treatment設(shè)備和解決方案。尤其在 灰化工藝和電漿預(yù)處理(Descum) 工藝領(lǐng)域居全球第一。
1997年,公司在科斯達(dá)克(KOSDAQ)市場上市。
日本:
東京電子(Tokyo Electron):東京電子有限公司是一家日本電子和半導(dǎo)體公司,總部位于東京。東京電子是一家制造集成電路,平板顯示器和光伏電池供應(yīng)商。東京電子器件株式會社是東京電子有限公司旗下子公司,公司專門制造半導(dǎo)體器件,電子元件和網(wǎng)絡(luò)設(shè)備。
截至2011年,東京電子是日本IC和PFD設(shè)備最大制造商,也是世界第三大IC和PFD設(shè)備制造商。2013年9月24日,東京電子和應(yīng)用材料公司宣布合并。合并后的公司被稱為Eteris,它將是世界上最大的半導(dǎo)體加工設(shè)備供應(yīng)商。
檢測
中國大陸:
格蘭達(dá)科技(Grand):格蘭達(dá)是中國精密機(jī)電一體化制造的領(lǐng)先供應(yīng)商。格蘭達(dá)從1995年的機(jī)械加工和自動化裝置、工裝夾具業(yè)務(wù)起步,先后建立精密CNC加工、飯金加工、表面處理(噴涂、氧化)、點膠、模具壓鑄和電子組裝等專業(yè)部門和團(tuán)隊。2003年建立研發(fā)中心,在半導(dǎo)體封裝設(shè)備、硬盤檢測設(shè)備、自動化設(shè)備、機(jī)械手集成應(yīng)用設(shè)備和數(shù)控機(jī)床設(shè)備等領(lǐng)域先后取得了100多個發(fā)明專利和實用新型專利。
格蘭達(dá)從成立之初在深圳福田租賃600平方米廠房起步,先后購置和建設(shè)深圳福田金谷辦公室、深圳坪山裝備產(chǎn)業(yè)園及江門數(shù)控裝備產(chǎn)業(yè)園;并陸續(xù)在香港、新加坡、上海、江門、美國等地設(shè)立公司或生產(chǎn)基地。
睿勵科學(xué)儀器(Raintree):睿勵科學(xué)儀器(上海)有限公司是于2005年創(chuàng)建的合資公司,致力于研發(fā)、生產(chǎn)和銷售具有自主知識產(chǎn)權(quán)的集成電路生產(chǎn)制造工藝裝備產(chǎn)業(yè)中的工藝檢測設(shè)備。為用戶提供光學(xué)測量設(shè)備、光學(xué)關(guān)鍵尺寸分析系統(tǒng)、鎵液態(tài)離子源、缺陷檢測、等離子體灰化等產(chǎn)品,廣泛用于科學(xué)儀器、微電子等領(lǐng)域,目前擁有的主要產(chǎn)品包括光學(xué)檢測設(shè)備、硅片厚度及翹曲測量設(shè)備及子公司宏觀缺陷檢測設(shè)備等。
美國:
KLA:是一家從事半導(dǎo)體及相關(guān)納米電子產(chǎn)業(yè)的設(shè)計、制造及行銷制程控制和良率管理解決方案商,其產(chǎn)品包括晶片制造、晶圓制造、光罩制造、互補式金屬氧化物半導(dǎo)體(CMOS)和圖像感應(yīng)器制造、太陽能制造、LED制造,資料儲存媒體/讀寫頭制造、微電子機(jī)械系統(tǒng)制造及通用/實驗室應(yīng)用等。
此外,科磊半導(dǎo)體公司還提供翻新的KLA-Tencor工具,連同其KT認(rèn)證計畫予客戶制造更大的設(shè)計規(guī)則裝置及產(chǎn)品支援服務(wù)。公司產(chǎn)品應(yīng)用于許多其他行業(yè),包括LED,資料儲存和太陽能等產(chǎn)業(yè),以及一般材料的研究。
韓國:
Unitest:半導(dǎo)體設(shè)備廠商,主要產(chǎn)品有半導(dǎo)體后道測試設(shè)備,存儲器模組測試設(shè)備實力較強(qiáng)。
Techwing:除了設(shè)備還生產(chǎn)半導(dǎo)體產(chǎn)業(yè)用的材料如 COK。
日本:
日立高科技(Hitachi Hightechnologies):2001年10月,日立儀器集團(tuán)和半導(dǎo)體制造設(shè)備集團(tuán)合并后,公司名稱更改為日立高科技公司。日立高科技在滿足小型化和節(jié)能要求的電子設(shè)備制造領(lǐng)域處于最前沿,提供核心技術(shù)的解決方案,例如全球最暢銷的測長SEM和提供高精度的等離子刻蝕系統(tǒng)超細(xì)加工、過程制造設(shè)備和檢查系統(tǒng),以及在許多研究領(lǐng)域中使用的電子顯微鏡。產(chǎn)品包括干蝕刻系統(tǒng)、CD-SEM和缺陷檢查、電子顯微鏡/原子力顯微鏡等。
蝕刻
中國大陸:
中微半導(dǎo)體(AMEC):中微半導(dǎo)體設(shè)備(上海)股份有限公司是一家以中國為基地、面向全球的高端半導(dǎo)體微觀加工設(shè)備公司,為全球集成電路和 LED 芯片制造商提供極具競爭力的高端設(shè)備和高質(zhì)量的服務(wù)。中微公司涉足半導(dǎo)體芯 片前端制造、先進(jìn)封裝、LED 生產(chǎn)、MEMS 制造以及其他微觀制程的高端設(shè)備領(lǐng)域。其中中微公司的等離子體刻蝕設(shè)備已被廣泛應(yīng)用于國際一線客戶從 65 納米到 5 納米工藝的眾多刻蝕應(yīng)用,中微公司開發(fā)的用于 LED 和功率器件外延片生產(chǎn)的 MOCVD 設(shè)備已在客戶生產(chǎn)線上投入 量產(chǎn),2018 年公司在全球氮化鎵基 LED MOCVD 設(shè)備市場占據(jù)領(lǐng)先地位。
公司主要產(chǎn)品有:
CCP 刻蝕設(shè)備:為 65 納米到 5 納米及更先進(jìn)工藝的芯片制造提供創(chuàng)新的解決方案 ;ICP 刻蝕設(shè)備:為 1X 納米及更先進(jìn)工藝的邏輯和存儲器件刻蝕應(yīng)用提供創(chuàng)新的解決方案;深硅刻蝕設(shè)備:用于 CIS、MEMS 和先進(jìn)封裝等領(lǐng)域;MOCVD 設(shè)備:用于 LED 和功率器件外延片批量生產(chǎn);VOC 凈化設(shè)備:用于平板顯示領(lǐng)域 VOC 廢氣處理。
2019年7月,科創(chuàng)板上市。
光刻膠加工
中國大陸:
芯源微電子(Kingsemi):沈陽芯源微電子設(shè)備股份有限公司成立于2002年,是由中科院沈陽自動化研究所發(fā)起創(chuàng)建的國家高新技術(shù)企業(yè),專業(yè)從事半導(dǎo)體生產(chǎn)設(shè)備的研發(fā)、生產(chǎn)、銷售與服務(wù),致力于為客戶提供半導(dǎo)體裝備與工藝整體解決方案。
產(chǎn)品包括光刻工序涂膠顯影設(shè)備(涂膠/顯影機(jī)、噴膠機(jī))和單片式濕法設(shè)備(清洗機(jī)、去膠機(jī)、濕法刻蝕機(jī)),產(chǎn)品可用于6英寸及以下單晶圓處理(如LED芯片制造環(huán)節(jié))及8/12英寸單晶圓處理(如集成電路制造前道晶圓加工及后道先進(jìn)封裝環(huán)節(jié))。
2019年12月16日于科創(chuàng)板上市。
日本:
SCREEN:DAINIPPON SCREEN是日本半導(dǎo)體設(shè)備和LCD 生產(chǎn)設(shè)備廠。公司設(shè)備制造包括半導(dǎo)體、LCD、印刷電路板制程設(shè)備,客戶遍及日本、韓國和臺灣。另外還提供圖像處理設(shè)備,如CTP版(打印輸出設(shè)備)、數(shù)字印刷、印刷制版設(shè)備及其他字體及維修保養(yǎng)服務(wù)。2015年1月,公司更名為SCREEN Holdings Co.Ltd。
SCREEN現(xiàn)在正在發(fā)展和生產(chǎn)印刷領(lǐng)域及世界領(lǐng)先的高科技領(lǐng)域的印刷技術(shù)數(shù)字化設(shè)備,如電子領(lǐng)域的半導(dǎo)體制造設(shè)備,F(xiàn)PDs (平板顯示器)和印刷電路板。SCREEN提供各領(lǐng)域之半導(dǎo)體晶圓設(shè)備,包含洗凈、蝕刻、顯影/涂布等制程用途,其中洗凈設(shè)備于半導(dǎo)體業(yè)界具有極高之市占率,同時隨著半導(dǎo)體制程技術(shù)進(jìn)步不斷推陳出新設(shè)備產(chǎn)品。
清洗
中國大陸:
盛美半導(dǎo)體(ACM):盛美半導(dǎo)體設(shè)備(上海)有限公司的前身是1998年成立于美國硅谷的ACM Research,主營電拋光技術(shù)的研究。然而,當(dāng)時由于市場不成熟、迫于資金壓力,同時看到了國內(nèi)市場前景,王暉隨后回國成立了合資公司盛美半導(dǎo)體。盛美半導(dǎo)體設(shè)備(上海)有限公司成立于2005年5月,是一家注冊于中國上海張江高科技園區(qū)的、具備世界領(lǐng)先技術(shù)的半導(dǎo)體設(shè)備制造商,公司在華投資逾三億。是中國一家專注于集成電路制造產(chǎn)業(yè)中電鍍銅設(shè)備、拋銅設(shè)備、單晶圓清洗設(shè)備的研發(fā)及生產(chǎn)的公司。
日本:
芝浦機(jī)電(Shibaura Mechatronics):芝浦機(jī)電有限公司成立于1939年10月12日,產(chǎn)品包括FPD制造設(shè)備、半導(dǎo)體制造設(shè)備、真空應(yīng)用設(shè)備以及其他各種應(yīng)用設(shè)備。
半導(dǎo)體材料
基板
中國大陸:
珠海越亞(Access):珠海越亞半導(dǎo)體股份有限公司最早由中國、以色列兩國企業(yè)合資組建,主要從事具有自主知識產(chǎn)權(quán)的剛性有機(jī)IC無芯封裝基板的研發(fā)、生產(chǎn)和銷售。自2006年成立以來,公司以“新型集成電路支撐結(jié)構(gòu)及其制作方法”等為核心進(jìn)行高新技術(shù)成果轉(zhuǎn)化,成為世界上首家采用“銅柱法”生產(chǎn)無芯封裝基板并實現(xiàn)量產(chǎn)的高科技企業(yè)。
公司主要為客戶提供封裝基板解決方案以及定制化的高密度有機(jī)無芯IC封裝基板,產(chǎn)品經(jīng)過封裝測試廠的封測后,最終運用于手機(jī)、平板電腦、游戲機(jī)等便攜式終端消費電子產(chǎn)品。目前公司主要研發(fā)生產(chǎn)應(yīng)用于模擬芯片封裝領(lǐng)域的無線射頻模塊(RF Module)封裝基板,此類產(chǎn)品已處于量產(chǎn)階段,已通過國際芯片企業(yè)威訊聯(lián)合半導(dǎo)體(RFMD)、安華高科技(Avago)等客戶認(rèn)證并獲得其量產(chǎn)訂單,該等客戶已進(jìn)入iPhone、三星Galaxy系列等智能手機(jī)以及iPad、Galaxy Tab等平板電腦的供應(yīng)鏈。
興森科技(Fastprint):興森科技成立于1999年,是國內(nèi)知名的印制電路板樣板、快件、小批量板的設(shè)計及制造服務(wù)商,為該細(xì)分領(lǐng)域的龍頭企業(yè),在PCB樣板、小批量板市場有較強(qiáng)的競爭力和議價能力。公司繼續(xù)圍繞PCB業(yè)務(wù)、軍品業(yè)務(wù)、半導(dǎo)體業(yè)務(wù)三大業(yè)務(wù)主線開展。其中PCB業(yè)務(wù)包含樣板快件、小批量板的設(shè)計、研發(fā)、生產(chǎn)、銷售以及表面貼裝;半導(dǎo)體業(yè)務(wù)產(chǎn)品包含IC封裝基板和半導(dǎo)體測試板。
半導(dǎo)體業(yè)務(wù)包含IC封裝基板和半導(dǎo)體測試板業(yè)務(wù),IC封裝基板采用設(shè)計、生產(chǎn)、銷售的經(jīng)營模式,在各種產(chǎn)品中均有應(yīng)用,包括手機(jī)PA及服務(wù)器使用的內(nèi)存條、SSD硬盤使用的NAND Flash,移動設(shè)備中的存儲MMC等;半導(dǎo)體測試板采用提供設(shè)計、銷售、制造、表面貼裝整體解決方案的一站式服務(wù)經(jīng)營模式,產(chǎn)品應(yīng)用于從晶圓測試到封裝前后測試的各流程中,類型包括接口板、探針卡和老化板,公司目前的半導(dǎo)體測試板產(chǎn)品主要為接口板,子公司上海澤豐為客戶提供半導(dǎo)體測試綜合解決方案,并將美國Harbor公司、公司本部三方各自的優(yōu)勢有效協(xié)同,為客戶提供一站式服務(wù)。
深南電路(SCC):深南電路股份有限公司,成立于1984年,注冊資本3.3936億元,總部坐落于中國廣東省深圳市,主要生產(chǎn)基地位于中國深圳、江蘇無錫及南通,業(yè)務(wù)遍及全球,在北美設(shè)有子公司,歐洲設(shè)有研發(fā)站點。
深南電路擁有印制電路板(背板、高速多 層板、多功能 金屬基板、厚 銅板、高頻微 波板、剛撓結(jié)合板)、封裝基板(存儲芯片封裝 基板、微機(jī)電 系統(tǒng)封裝基 板、射頻模塊 封裝基板、處理器芯片封裝基板和高速通信封裝基板)及電子裝聯(lián)(PCBA板級、 功能性模塊、整機(jī)產(chǎn)品/系統(tǒng)總裝)三項業(yè)務(wù)。
韓國:
三星電機(jī)(SEMCO):三星電機(jī)是韓國最大的企業(yè)集團(tuán)三星集團(tuán)旗艦子公司,也是世界上營收最大的電子工業(yè)制造商。三星電機(jī)有限公司創(chuàng)建于1973年,起初是一個電子產(chǎn)品核心部件的生產(chǎn)商,逐步成長為韓國擁有61.2億美元總收入的電子零部件生產(chǎn)業(yè)的領(lǐng)頭羊,并在全球市場中扮演著重要角色。公司由四個部門構(gòu)成:LCR(電感電容電阻)部門負(fù)責(zé)的多層陶瓷貼片電容和極性電容;ACI(高級電路互連)部門負(fù)責(zé)高密度互連和IC(集成電路)的業(yè)務(wù);CDS(電路驅(qū)動解決方案)部門的業(yè)務(wù)細(xì)分?jǐn)?shù)字調(diào)諧器,網(wǎng)絡(luò)模塊,能源模塊和其他普通模塊;OMS(光感及機(jī)械電子)部門業(yè)務(wù)包括圖像傳感器模塊以及精密馬達(dá)等。
LGI:
晶圓
中國大陸:
上海硅產(chǎn)業(yè)集團(tuán)(NSIG):硅產(chǎn)業(yè)集團(tuán)主要從事半導(dǎo)體硅片的研發(fā)、生產(chǎn)和銷售,是中國大陸規(guī)模最大的半導(dǎo)體硅片企業(yè)之一,是中國大陸率先實現(xiàn)300mm半導(dǎo)體硅片規(guī)?;N售的企業(yè),提供的產(chǎn)品類型涵蓋300mm拋光片及外延片、200mm及以下拋光片、外延片及SOI硅片。
旗下公司有Okmetic”、 “新晟半導(dǎo)體”、 “新傲科技”等。
上海超硅半導(dǎo)體(AST):上海超硅半導(dǎo)體有限公司擁有目前國內(nèi)最大的8英寸硅片拋光生產(chǎn)線和藍(lán)寶石材料生產(chǎn)線;公司產(chǎn)品包括半導(dǎo)體硅材料、LED用藍(lán)寶石材料、太陽能電池用硅材料、復(fù)合半導(dǎo)體材料、MEMS等特定使用材料以及相關(guān)的各種技術(shù)咨詢與服務(wù)。公司擁有按一流超大規(guī)模集成電路生產(chǎn)要求設(shè)計的最高凈化級別為10級0.1um的標(biāo)準(zhǔn)廠房和具有生產(chǎn)高質(zhì)量穩(wěn)定規(guī)模化生產(chǎn)水平的生產(chǎn)設(shè)備和完善的質(zhì)量監(jiān)控與表征設(shè)備體系。
中欣晶圓半導(dǎo)體(Ferrotech):Ferrotec(中國)于1992年成立于浙江杭州,是一家由日本Ferrotec株式會社在華設(shè)立的集產(chǎn)品研發(fā)、制造、銷售于一體的多元化企業(yè),旗下管理的20多家公司遍布中國各地,為國內(nèi)外客戶提供具有世界先進(jìn)水平的材料、器件、裝備和系統(tǒng)解決方案。其中Ferrotec株式會社行銷網(wǎng)絡(luò)遍布全球,在中國、日本、美國、德國、法國、意大利、西班牙、俄羅斯、韓國、馬來西亞等地設(shè)立據(jù)點公司,是一家擁有多項高端生產(chǎn)技術(shù)的跨國集團(tuán)。
Ferrotec(中國)以磁性流體技術(shù)和磁流體密封技術(shù)為基石,從事磁性流體密封圈、半導(dǎo)體硅片、熱電半導(dǎo)體致冷材料與器件、半導(dǎo)體石英制品、精密陶瓷制品、半導(dǎo)體真空傳動裝置及大型腔體、太陽能發(fā)電材料、電子束蒸發(fā)鍍膜機(jī)等產(chǎn)品的研發(fā)、制造和銷售,產(chǎn)品涉及電子、半導(dǎo)體、機(jī)械加工、太陽能發(fā)電、汽車/新能源汽車、航空航天、家用電器和醫(yī)療器械等眾多領(lǐng)域。
北京奕斯偉科技(ESWIN):北京奕斯偉計算技術(shù)有限公司(ESWIN)創(chuàng)辦于2016年3月,核心事業(yè)包括物聯(lián)網(wǎng)及人機(jī)交互集成電路設(shè)計、封測和材料三大領(lǐng)域。產(chǎn)品廣泛應(yīng)用于顯示器件、人工智能、車聯(lián)網(wǎng)、可穿戴設(shè)備等領(lǐng)域。
ESWIN總部設(shè)在北京,在北京、成都、合肥、蘇州、臺灣、韓國設(shè)有研發(fā)中心,同時在成都、合肥、蘇州等地也擁有多個制造基地和產(chǎn)業(yè)園區(qū),并在香港設(shè)有營銷及技術(shù)創(chuàng)新平臺,產(chǎn)品覆蓋歐、美、亞等全球主要地區(qū)。
上海新傲科技(Simgui):上海新傲科技有限公司成立于2001年,2009年6月整體變更改制為上海新傲科技股份有限公司,由中科院上海微系統(tǒng)所牽頭,聯(lián)合中外投資者設(shè)立。
新傲公司目前是中國領(lǐng)先的SOI材料生產(chǎn)基地,也是世界上屈指可數(shù)的SOI材料規(guī)?;?yīng)商之一。擁有SIMOX(注氧隔離)、Bonding(鍵合)和Simbond(完全自主開發(fā)的SOI新技術(shù))和Smart-cut四類SOI晶片制造技術(shù),能夠提供100mm(4英寸)、125mm(5英寸)和150mm(6英寸)SOI晶片和SOI外延片,能批量提供8英寸SOI片。產(chǎn)品系列包括高劑量、低劑量、超薄、高阻SIMOX晶片,Bonding晶片,Simbond晶片和基于Smart-cut技術(shù)的晶片,并可根據(jù)用戶需求外延到所需的表層硅厚度。
新傲公司目前也是中國技術(shù)領(lǐng)先的外延硅片供應(yīng)商,可以提供4-6英寸的規(guī)格與要求的外延硅產(chǎn)品和外延加工服務(wù),現(xiàn)已開始批量提供8英寸外延片。
天津中環(huán)半導(dǎo)體(Zhonghuan):天津中環(huán)半導(dǎo)體股份有限公司成立于1999年,前身為1969年組建的天津市第三半導(dǎo)體器件廠,2004年完成股份制改造,2007年4月在深圳證券交易所上市,是生產(chǎn)經(jīng)營半導(dǎo)體材料和半導(dǎo)體集成電路與器件的高新技術(shù)企業(yè)。公司主營業(yè)務(wù)包括高壓器件、功率集成電路與器件、單晶硅和拋光片四大方面,形成了具有產(chǎn)品特征和行業(yè)屬性強(qiáng)關(guān)聯(lián)的多元化經(jīng)營。
在高壓器件領(lǐng)域,主要有高壓二極管、硅整流二極管、硅橋式整流器、微波爐用高壓二極管、工業(yè)用特種高壓二極管等產(chǎn)品,并且將擴(kuò)散片、GPP芯片、TVS芯片等業(yè)務(wù)作為發(fā)展方向。在功率器件領(lǐng)域,功率器件事業(yè)部6英寸0.35微米功率半導(dǎo)體器件生產(chǎn)線是天津市二十大重點工業(yè)項目,是一條以半導(dǎo)體芯片制造、測試為目的的生產(chǎn)線,該生產(chǎn)線擁有國內(nèi)先進(jìn)的6英寸線生產(chǎn)設(shè)備,主要產(chǎn)品為功率集成電路,以及VDMOS、Trench MOS、Schottky、FRD、IGBT等系列功率分立器件。
在單晶硅材料領(lǐng)域,形成了以直拉硅棒、區(qū)熔硅棒、直拉硅片、區(qū)熔硅片為主的四大產(chǎn)品系列,是中國硅單晶品種最齊全的廠家之一。產(chǎn)品主要應(yīng)用于半導(dǎo)體集成電路、半導(dǎo)體分立器件、電力電子器件、太陽能電池和其他微電子器件。
韓國:
SK Siltron:LG Siltron原是LG旗下制造半導(dǎo)體芯片基礎(chǔ)材料半導(dǎo)體硅晶片的專門企業(yè)。2017年1月,SK集團(tuán)收購了LG Siltron 51%的股份,并將其更名為SK Siltron。
中國臺灣:
環(huán)球晶圓(GlobalWafers):環(huán)球晶圓在臺灣、中國大陸、日本與歐美等地均有布局,公司已與日本半導(dǎo)體設(shè)備廠Ferrotec合作建置上海8英寸硅晶圓廠,初期月產(chǎn)能約達(dá)10萬片。同時,雙方也已洽商在杭州另行興建8英寸廠,初步規(guī)劃于2019年底時可開始生產(chǎn)。
環(huán)球晶圓是中美硅晶的子公司,2012年收購?fù)ㄟ^前身為東芝陶瓷的 CovalentMaterials(現(xiàn)為CoorsTek)的半導(dǎo)體晶圓業(yè)務(wù),擴(kuò)大了業(yè)務(wù)范圍。后通過收購全球第四大半導(dǎo)體硅晶圓制造與供貨商SunEdisonSemiconductor一躍成為第三大硅晶圓供貨商。
臺塑勝高(Formosa SUMCO):臺塑勝高科技股份有限公司于1995年成立并與日本小松電子材料公司簽訂特許授權(quán)及協(xié)助合約,于1998年8吋建廠完成,產(chǎn)出第一根8吋晶棒。
臺塑勝高專注于矽晶圓產(chǎn)業(yè)領(lǐng)域,結(jié)合SUMCO集團(tuán)優(yōu)越生產(chǎn)技術(shù)和臺塑企業(yè)優(yōu)秀管理制度,不斷追求品質(zhì)精進(jìn)和成本合理化以提供物廉質(zhì)優(yōu)矽晶圓予國內(nèi)各大電子廠,并借由提升市場占有率,以強(qiáng)化公司整體競爭力。
臺灣合晶(Wafer Works):Wafer Works是一家世界級的電子材料供應(yīng)商,專門生產(chǎn)各種摻雜的硅晶片,該晶片廣泛用于電力和數(shù)字應(yīng)用的半導(dǎo)體器件中。通過垂直整合的單晶錠,拋光和Epi晶圓產(chǎn)品線為客戶提供廣泛的晶圓解決方案。
日本:
日本信越(Shin-Etsu):全球集成電路用硅片制造商巨頭。作為高科技材料的超級供應(yīng)商,信越集團(tuán)不斷地提供著最尖端的技術(shù)和產(chǎn)品,其半導(dǎo)體硅、聚氯乙烯等原材料的供應(yīng)在全球首屈一指。
目前信越集團(tuán)制造的高性能有機(jī)硅產(chǎn)品多達(dá) 4000 多種,現(xiàn)已廣泛應(yīng)用于電子、電氣、汽車制造、機(jī)械制造、化工、紡織、食品工業(yè)以及建筑工程領(lǐng)域,并在所有產(chǎn)業(yè)方面提供了高附加價值的產(chǎn)品。
日本勝高(SUMCO):SUMCO 成立于1999年7月30日,主要業(yè)務(wù)為半導(dǎo)體用硅晶片的制造和銷售。
Ferrotec:Ferrotec于1980年9月在東京都港區(qū)成立,負(fù)責(zé)進(jìn)口和銷售計算機(jī)密封件,真空密封件和磁性流體。1992年1月在中國杭州成立杭州大和熱磁電子有限公司,95年在中國上海成立上海申和熱磁電子有限公司。
Ferrotec共有8個主要產(chǎn)品類型,熱模塊、功率半導(dǎo)體基板、磁性流體、真空密封、石英產(chǎn)品、陶瓷制品、CVD-SiC產(chǎn)品以及半導(dǎo)體硅晶片。
RS Technologies:RS Technologies是全球最大的晶圓再生制造公司之一,業(yè)務(wù)范圍包括電子材料、電子器械部品、通信器械部品材料的制造、加工、再生和銷售;太陽光發(fā)電事業(yè) 、半導(dǎo)體設(shè)備的收購、銷售;半導(dǎo)體材料、部件的銷售;半導(dǎo)體晶圓制造工程中的技術(shù)咨詢服務(wù)。
光刻
中國大陸:
北京科華微電子(Kempur):北京科華微電子材料有限公司是一家中美合資企業(yè),成立于2004年,產(chǎn)品覆蓋KrF(248nm)、I-line、G-line、紫外寬譜的光刻膠及配套試劑。
科華微電子擁有中高檔光刻膠生產(chǎn)基地:2005年,建成百噸級環(huán)化橡膠系紫外負(fù)性光刻膠和千噸級負(fù)性光刻膠配套試劑生產(chǎn)線;2009年5月,建成高檔G/I線正膠生產(chǎn)線(500 噸/年)和正膠配套試劑生產(chǎn)線(1000 噸/年);2012年12月,科華微電子建成248nm光刻膠生產(chǎn)線。
科華微電子光刻膠產(chǎn)品序列完整,產(chǎn)品應(yīng)用領(lǐng)域涵蓋集成電路(IC)、發(fā)光二極管(LED)、分立器件、先進(jìn)封裝、微機(jī)電系統(tǒng)(MEMS)等。產(chǎn)品類型覆蓋KrF(248nm)、G/I線(含寬譜),主要包括:KrF光刻膠DK1080、DK2000、DK3000系列;g-i line光刻膠KMP C5000、KMP C7000、KMP C8000、KMP EP3100系列和KMP EP3200A系列;Lift-off工藝使用的負(fù)膠KMP E3000系列;用于分立器件的BN、BP系列等。
韓國:
東進(jìn)半導(dǎo)體(Dongjin Semi):Dongjin Semichem成立于1967年,生產(chǎn)和銷售用于半導(dǎo)體和顯示器的材料,用于替代能源的材料(太陽能電池,燃料電池)和發(fā)泡劑。半導(dǎo)體和顯示材料包括光致抗蝕劑,減反射膜(BARC),旋涂碳(SOC),研磨劑(CMP漿料),濕化學(xué)藥品,有色抗蝕劑,有機(jī)絕緣體,柱狀間隔物等有助于現(xiàn)代化和整合的化學(xué)工藝材料。
憑借在發(fā)泡劑領(lǐng)域積累的聲譽和技術(shù),Dongjin Semichem在1980年代初對半導(dǎo)體和顯示材料行業(yè)進(jìn)行了開拓性投資。1983年,在完成EMC業(yè)務(wù)之后,該公司進(jìn)入了半導(dǎo)體材料領(lǐng)域,并在硅片上應(yīng)用了用于半導(dǎo)體的光刻膠,以形成眾多半導(dǎo)體電路的精細(xì)圖案。
JSR:日本JSR是全球最大的光刻膠生產(chǎn)廠,占據(jù)全球份額24%,也是三星、SK海力士進(jìn)口光刻膠的主要來源。
東京應(yīng)化工業(yè)(Tokyo Ohka Kogyo):東京應(yīng)化工業(yè)成立于1940年10月25日,業(yè)務(wù)范圍包括生產(chǎn)制造材料,例如用于半導(dǎo)體和顯示器的光刻工藝的光敏樹脂(光致抗蝕劑)和高純度化學(xué)品,各種加工設(shè)備(例如半導(dǎo)體和顯示器制造設(shè)備)以及其他無機(jī)和有機(jī)化學(xué)品制造銷售。
濺擊
中國大陸:
江豐電子(KFMI):寧波江豐電子材料股份有限公司創(chuàng)建于2005年,專業(yè)從事超大規(guī)模集成電路制造用超高純金屬材料及濺射靶材的研發(fā)生產(chǎn),于2017年6月在深交所上市。
江豐電子在全球先端7nm FinFET (FF+)技術(shù)超大規(guī)模集成電路制造領(lǐng)域批量應(yīng)用。目前江豐電子的銷售網(wǎng)絡(luò)覆蓋歐洲、北美及亞洲各地,產(chǎn)品應(yīng)用到多家國內(nèi)外知名半導(dǎo)體、平板顯示及太陽能電池制造企業(yè)。
化學(xué)機(jī)械研磨液
中國大陸:
安集微電子(Anji):安集微電子科技(上海)股份有限公司是一家以自主創(chuàng)新為本,集研發(fā)、生產(chǎn)、銷售及技術(shù)服務(wù)為一體的高科技半導(dǎo)體材料公司。公司主營業(yè)務(wù)為關(guān)鍵半導(dǎo)體材料的研發(fā)和產(chǎn)業(yè)化,目前產(chǎn)品包括不同系列的化學(xué)機(jī)械拋光液和光刻膠去除劑,主要應(yīng)用于集成電路芯片制造和先進(jìn)封裝領(lǐng)域。
公司位于上海浦東新區(qū),在上海擁有一座研發(fā)中心和一座生產(chǎn)基地,并在臺灣地區(qū)、浙江寧波分別設(shè)立全資子公司。目前客戶遍及中國大陸、臺灣地區(qū)、美國、歐洲、新加坡、馬來西亞等國家和地區(qū)。
韓國:
Soulbrain:Soulbrain 是為半導(dǎo)體和平板顯示器制造商提供特種電子材料的關(guān)鍵供應(yīng)商。
KC Tech:除了設(shè)備還生產(chǎn)半導(dǎo)體及面板產(chǎn)業(yè)用的各種化學(xué)品。
日本:
日本富士美(Fujimi Incorporated):公司經(jīng)營范圍:提供化學(xué)機(jī)械研磨和晶圓研磨用漿料。
日本 FUJIMI 公司,擁有世界高科技領(lǐng)域所使用研磨材料最大的市場占有率。在超過半個世紀(jì)的光電產(chǎn)業(yè)發(fā)展中,隨著各類新型材料的出現(xiàn) FUJIMI 公司針對被加物件各異的理化特性,研發(fā)了各類不同的研磨微粉、拋光材料,充分地滿足了市場需求。
化學(xué)品
中國大陸:
潤瑪電子材料(Runma):江陰潤瑪電子材料股份有限公司于2002年創(chuàng)立,2012年整體變更設(shè)立股份有限公司。目前公司產(chǎn)品已在國內(nèi)半導(dǎo)體分立器件、大規(guī)模集成電路、硅材料處理、平面顯示器行業(yè)的主要廠家廣泛應(yīng)用,特別是自主開發(fā)的RM-A、RM-B系列超凈高純電子化學(xué)品,填補了國內(nèi)空白,替代部分進(jìn)口,已成熟應(yīng)用于多家大型微電子領(lǐng)域企業(yè)。
上海華誼(Huayi):上海華誼(集團(tuán))公司是由上海市政府國有資產(chǎn)監(jiān)督管理委員會授權(quán),通過資產(chǎn)重組建立的大型化工企業(yè)集團(tuán)。
上海華誼80%的核心資產(chǎn)已在上海證券交易所成功上市;旗下企事業(yè)單位有雙錢輪胎集團(tuán)有限公司、上海華誼能源化工有限公司、上海天原集團(tuán)有限公司、上海華誼精細(xì)化工有限公司、上海華誼集團(tuán)投資有限公司、上海氯堿化工股份有限公司、上海華誼丙烯酸有限公司、上海華誼集團(tuán)資產(chǎn)管理有限公司、上海市化工科學(xué)技術(shù)情報研究所、上海市化工環(huán)境保護(hù)監(jiān)測站等。其中“華誼集團(tuán)”、“氯堿化工”同時發(fā)行A、B股。
上海新陽半導(dǎo)體(Sinyang):上海新陽半導(dǎo)體材料股份有限公司創(chuàng)立于1999年7月,2011年6月在深圳證券交易所創(chuàng)業(yè)板上市。上海新陽形成了擁有完整自主可控知識產(chǎn)權(quán)的電子電鍍和電子清洗兩大核心技術(shù),用于晶圓電鍍與晶圓清洗的第二代核心技術(shù)已達(dá)到世界領(lǐng)先水平。產(chǎn)品廣泛應(yīng)用于集成電路制造、3D-IC先進(jìn)封裝、IC傳統(tǒng)封測等領(lǐng)域,滿足芯片銅制程90-28nm工藝技術(shù)要求,相關(guān)產(chǎn)品已成為多家集成電路制造公司28nm技術(shù)節(jié)點的基準(zhǔn)材料(Base Line)。
公司已立項研發(fā)集成電路制造用高分辨率193nm ArF光刻膠及配套材料與應(yīng)用技術(shù),擁有完整自主可控知識產(chǎn)權(quán)的高端光刻膠產(chǎn)品與應(yīng)用即將形成公司的第三大核心技術(shù),公司在國內(nèi)半導(dǎo)體功能性化學(xué)材料領(lǐng)域的領(lǐng)先地位將更加穩(wěn)固。
韓國:
SK Materials:SK 集團(tuán)子公司,主要產(chǎn)品有半導(dǎo)體封裝用的 Bonding Wire, Solder Ball, Gold evaporate material, Gold sputtering target 等等。
Wonik Materials:圓益子公司,生產(chǎn)半導(dǎo)體及面板產(chǎn)業(yè)用的特殊氣體。
DNF:半導(dǎo)體材料廠商,主要產(chǎn)品有有機(jī)金屬化合物,DPT,High-k 產(chǎn)品,HCDS 產(chǎn)品,ACL 產(chǎn)品等等。
晶圓代工廠
中國大陸:
中芯國際(SMIC):中芯國際集成電路制造有限公司是世界領(lǐng)先的集成電路晶圓代工企業(yè)之一,也是中國內(nèi)地技術(shù)最先進(jìn)、配套最完善、規(guī)模最大、跨國經(jīng)營的集成電路制造企業(yè),提供0.35微米到14納米不同技術(shù)節(jié)點的晶圓代工與技術(shù)服務(wù)。中芯國際總部位于上海,擁有全球化的制造和服務(wù)基地。在上海建有一座300mm晶圓廠和一座200mm晶圓廠,以及一座控股的300mm先進(jìn)制程晶圓廠在建設(shè)中;在北京建有一座300mm晶圓廠和一座控股的300mm先進(jìn)制程晶圓廠;在天津和深圳各建有一座200mm晶圓廠;在江陰有一座控股的300mm凸塊加工合資廠。中芯國際還在美國、歐洲、日本和中國臺灣設(shè)立營銷辦事處、提供客戶服務(wù),同時在中國香港設(shè)立了代表處。
華虹集團(tuán)(Hua Hong):上海華虹(集團(tuán))有限公司成立于1996年,是國家“909”工程的成果與載體。華虹集團(tuán)在建設(shè)運營我國第一條深亞微米超大規(guī)模8英寸集成電路生產(chǎn)線的同時,逐步發(fā)展成 為以芯片制造業(yè)務(wù)為核心,集成電路系統(tǒng)集成和應(yīng)用服務(wù)、芯片制造工藝研發(fā)、電子元 器件貿(mào)易、海內(nèi)外風(fēng)險投資等業(yè)務(wù)平臺共同發(fā)展的集成電路產(chǎn)業(yè)集團(tuán)。
上海華力微電子(Shanghai Huali):上海華力提供廣泛的工藝技術(shù)平臺及配套IP解決方案,全面應(yīng)用于手機(jī)通信、消費類電子、智能卡、物聯(lián)網(wǎng)、穿戴電子以及汽車電子等終端產(chǎn)品;同時也專注于差異化路線,重點布局射頻、高壓、嵌入式閃存、超低功耗、NOR閃存和圖像傳感器等特色工藝平臺,致力于為國內(nèi)外芯片設(shè)計公司、IDM公司和其他系統(tǒng)公司提供先進(jìn)的工藝技術(shù)和全面的芯片制造服務(wù)。
上海先進(jìn)半導(dǎo)體(ASMC):上海先進(jìn)半導(dǎo)體制造有限公司于1988年由中荷合資成立為上海飛利浦半導(dǎo)體公司,1995年易名為上海先進(jìn)半導(dǎo)體制造有限公司,2004年改制為上海先進(jìn)半導(dǎo)體制造股份有限公司,2019年被上海積塔半導(dǎo)體有限公司吸收合并,改制為上海先進(jìn)半導(dǎo)體制造有限公司。
上海先進(jìn)是一家大規(guī)模集成電路芯片制造公司。目前,公司有5英寸、6英寸、8英寸晶圓生產(chǎn)線,專注于模擬電路、功率器件的制造,8英寸等值晶圓年產(chǎn)能66.4萬片,上海先進(jìn)是國內(nèi)最早從事汽車電子芯片、IGBT芯片制造的企業(yè)。公司憑借超過三十年的芯片制造經(jīng)驗、先進(jìn)的工藝設(shè)備及嚴(yán)格的質(zhì)量管理體系,通過引進(jìn)、消化、吸收、創(chuàng)新,在模擬電路、功率器件芯片代工領(lǐng)域具有領(lǐng)先地位。
華潤上華(CSMC):無錫華潤上華科技有限公司隸屬于華潤集團(tuán)旗下負(fù)責(zé)半導(dǎo)體業(yè)務(wù)的高科技公司——華潤微電子有限公司。華潤上華及其附屬公司于1997年在中國大陸開創(chuàng)開放式晶圓代工經(jīng)營模式的先河,為客戶提供集成電路制造服務(wù)。
華潤上華擁有國內(nèi)最大的六英寸代工線和一條八英寸代工線,總部和生產(chǎn)線設(shè)于無錫,在上海、香港和臺灣均設(shè)有辦事處。華潤上華的六英寸生產(chǎn)線是國內(nèi)首家開放式晶圓代工廠,以產(chǎn)能計為目前國內(nèi)最大的六英寸代工企業(yè),月產(chǎn)能21萬片。八英寸生產(chǎn)線目前月產(chǎn)能已達(dá)6.5萬片,制程技術(shù)將提升至0.13微米。
思恩技術(shù)(SIEN):深圳市思恩技術(shù)有限公司是一家集科研,設(shè)計,生產(chǎn),銷售為一體的高科技企業(yè),是專業(yè)集成電路測試方案提供商。思恩技術(shù)擁有十多年IC測試行業(yè)經(jīng)驗背景,可根據(jù)客戶需求定制開發(fā)各種不同功能應(yīng)用的IC測試方案及整機(jī)設(shè)備,測試方案涵蓋:數(shù)字類IC、模擬類IC、數(shù)?;旌项怚C、存儲器類IC、分立器件類IC、MCU類IC等。
士蘭微電子(Silan):杭州士蘭微電子股份有限公司,是一家專業(yè)從事集成電路以及半導(dǎo)體微電子相關(guān)產(chǎn)品的設(shè)計、生產(chǎn)與銷售的高新技術(shù)企業(yè)。士蘭微電子目前的產(chǎn)品和研發(fā)投入主要集中在以下三個領(lǐng)域:以消費類數(shù)字音視頻應(yīng)用領(lǐng)域為目標(biāo)的集成電路產(chǎn)品,包括以光盤伺服為基礎(chǔ)的芯片和系統(tǒng),現(xiàn)已成功地向市場推出了單芯片的CD播放機(jī)系統(tǒng)、DISCMAN系統(tǒng)、MP3/WMA數(shù)字音頻解碼等系統(tǒng)和產(chǎn)品、單芯片的VCD系統(tǒng)等,并即將推出單芯片的DVD系統(tǒng)和其他數(shù)字音視頻產(chǎn)品。
粵芯半導(dǎo)體(CanSemi):廣州粵芯半導(dǎo)體技術(shù)有限公司于2017年12月在廣州開發(fā)區(qū)中新知識城設(shè)立,是國內(nèi)第一座以虛擬IDM (Virtual IDM) 為營運策略的12英寸芯片廠,也是廣州第一條12英寸芯片生產(chǎn)線。
粵芯半導(dǎo)體項目投資288億元,新建廠房及配套設(shè)施共占地14萬平方米。建成達(dá)產(chǎn)后,粵芯半導(dǎo)體將實現(xiàn)月產(chǎn)40,000片12英寸晶圓的生產(chǎn)能力,產(chǎn)品包括微處理器、電源管理芯片、模擬芯片、功率分立器件等,滿足物聯(lián)網(wǎng)、汽車電子、人工智能、5G等創(chuàng)新應(yīng)用的模擬芯片需求。
華潤微電子(CR Micro):華潤微電子有限公司是華潤集團(tuán)旗下負(fù)責(zé)微電子業(yè)務(wù)投資、發(fā)展和經(jīng)營管理的高科技企業(yè)。公司業(yè)務(wù)包括集成電路設(shè)計、掩模制造、晶圓制造、封裝測試及分立器件,業(yè)務(wù)范圍遍布無錫、深圳、上海、重慶、香港、臺灣等地。目前擁有6-8英寸晶圓生產(chǎn)線5條、封裝生產(chǎn)線2條、掩模生產(chǎn)線1條、設(shè)計公司3家,為國內(nèi)擁有完整半導(dǎo)體產(chǎn)業(yè)鏈的企業(yè),并在特色制造工藝技術(shù)居國內(nèi)領(lǐng)導(dǎo)地位。
美國:
格羅方德半導(dǎo)體(GlobalFoundries):格羅方德半導(dǎo)體股份有限公司是一家總部位于美國加州硅谷桑尼維爾市的半導(dǎo)體晶圓代工廠商, 成立于2009年3月。格羅方德半導(dǎo)體股份有限公司由AMD拆分而來、與阿聯(lián)酋阿布扎比先進(jìn)技術(shù)投資公司(ATIC)和穆巴達(dá)拉發(fā)展公司(Mubadala)聯(lián)合投資成立的半導(dǎo)體制造企業(yè)。
2017年,格羅方德半導(dǎo)體股份有限公司12英寸晶圓成都制造基地項目,在成都正式簽約并舉行開工儀式。該基地是全球首條22納米FD-SOI先進(jìn)工藝12英寸晶圓代工生產(chǎn)線
IBM:IBM1911年創(chuàng)立于美國,是全球最大的信息技術(shù)和業(yè)務(wù)解決方案公司。IBM在Vermont于1988年創(chuàng)建200mm生產(chǎn)線,產(chǎn)能60,000片,工藝能力 Analog,Logic,Memory及Mixed Signal。并在EastFishkil于2001年創(chuàng)建300mm的R&D線及2002年投資了超過25億美元,興建世界上最先進(jìn)的300毫米晶園制造生產(chǎn)線,并開展代工服務(wù)。
中國臺灣:
臺積電(TSMC):臺灣積體電路制造股份有限公司,簡稱臺積電,屬于半導(dǎo)體制造公司。成立于1987年,是全球第一家專業(yè)積體電路制造服務(wù)(晶圓代工foundry)企業(yè),總部與主要工廠位于臺灣新竹科學(xué)園區(qū)。
聯(lián)華電子(UMC):聯(lián)電成立于1980年,是臺灣第一家半導(dǎo)體公司。聯(lián)電是世界晶圓專工技術(shù)的領(lǐng)導(dǎo)者,持續(xù)推出先進(jìn)制程技術(shù)并且擁有半導(dǎo)體業(yè)界為數(shù)最多的專利。聯(lián)電的客戶導(dǎo)向解決方案能讓芯片設(shè)計公司利用本公司尖端制程技術(shù)的優(yōu)勢,包括通過生產(chǎn)驗證的65納米制程技術(shù)、45/40納米制程技術(shù)、混合信號/RFCMOS技術(shù),以及其它多樣的特殊制程技術(shù)。聯(lián)電在全球約有12,000名員工,在臺灣、日本、新加坡、歐洲及美國均設(shè)有服務(wù)據(jù)點,以滿足全球客戶的需求。
威兆半導(dǎo)體(Vanguard):威兆半導(dǎo)體是專業(yè)從事等立器件系列的設(shè)計及半導(dǎo)體微電子相關(guān)產(chǎn)品研發(fā)的高科技企業(yè)。
威兆現(xiàn)已經(jīng)成為少數(shù)同時具備低壓,中壓,高壓全部系列大功率POWER MOSFET分立器件,以及特殊半導(dǎo)體制程設(shè)計能力的先進(jìn)IC設(shè)計公司。產(chǎn)品廣泛應(yīng)用于計算機(jī),消費類電子,LCD/LED顯示器,通訊電源,工業(yè)電源,以及太陽能,風(fēng)能,鋰電等新能源產(chǎn)業(yè)。此外,威兆專注于大功率MOSFET器件研發(fā)設(shè)計。產(chǎn)品涉及新型IGBT、超結(jié)新型器件、高\中\(zhòng)低壓場效應(yīng)管、超低壓降肖特基、快恢復(fù)二極管及器件模塊化應(yīng)用設(shè)計;采用最新工藝平臺設(shè)計各類新工藝結(jié)構(gòu)產(chǎn)品,致力于提高產(chǎn)品在系統(tǒng)中的能效轉(zhuǎn)換。
后道封裝和測試
中國大陸:
長電科技(JCET):長電科技是全球領(lǐng)先的集成電路系統(tǒng)集成和封裝測試服務(wù)提供商,提供全方位的芯片集成一站式服務(wù),包括集成電路的系統(tǒng)集成封裝設(shè)計、技術(shù)開發(fā)、產(chǎn)品認(rèn)證、晶圓中測、Wafer Bumping、芯片成品測試并向世界各地的半導(dǎo)體供應(yīng)商發(fā)貨。
通過先進(jìn)的晶圓級WLP、2.5D / 3D和系統(tǒng)級SiP封裝技術(shù)和可靠的Flip Chip和引線互聯(lián)封裝技術(shù),長電科技的產(chǎn)品和研發(fā)技術(shù)涵蓋了所有集成電路應(yīng)用,包括移動、通信、計算、消費、汽車、工業(yè)等領(lǐng)域。JCET在中國、新加坡、韓國擁有三大研發(fā)中心及六大集成電路成品生產(chǎn)基地, 營銷辦事處分布于世界各地,可為國際和中國國內(nèi)的客戶提供緊密的技術(shù)合作和高效的產(chǎn)業(yè)鏈支持。
通富微電子(Tongfu):通富微電子股份有限公司成立于1997年10月,2007年8月在深圳證券交易所上市。
通富微電專業(yè)從事集成電路封裝測試, 擁有Bumping、WLCSP、FC、BGA、SiP等先進(jìn)封測技術(shù),QFN、QFP、SO等傳統(tǒng)封測技術(shù)以及汽車電子產(chǎn)品、MEMS等封測技術(shù);以及圓片測試、系統(tǒng)測試等測試技術(shù)。公司在國內(nèi)封測企業(yè)中率先實現(xiàn)12英寸28納米手機(jī)處理器芯片后工序全制程大規(guī)模生產(chǎn),包括Bumping、CP、FC、FT、SLT等。公司的產(chǎn)品和技術(shù)廣泛應(yīng)用于高端處理器芯片(CPU 、GPU)、存儲器、信息終端、物聯(lián)網(wǎng)、功率模塊、汽車電子等面向智能化時代的云、管、端領(lǐng)域。全球前十大半導(dǎo)體制造商有一半以上是其客戶。
天水華天(Tianshui Huatian): 天水華天科技股份有限公司成立于2003年12月25日,2007年11月20日在深圳證券交易所掛牌上市交易。
公司主要從事半導(dǎo)體集成電路封裝測試業(yè)務(wù)。目前公司集成電路封裝產(chǎn)品主要有DIP/SDIP、SOT、SOP、SSOP、TSSOP/ETSSOP、QFP/LQFP/TQFP、QFN/DFN、BGA/LGA、FC、MCM(MCP)、SiP、WLP、TSV、Bumping、MEMS等多個系列,產(chǎn)品主要應(yīng)用于計算機(jī)、網(wǎng)絡(luò)通訊、消費電子及智能移動終端、物聯(lián)網(wǎng)、工業(yè)自動化控制、汽車電子等電子整機(jī)和智能化領(lǐng)域。公司集成電路年封裝規(guī)模和銷售收入均位列我國同行業(yè)上市公司第二位。
晶方半導(dǎo)體(CSP):2005年6月, 蘇州晶方半導(dǎo)體科技股份有限公司成立于蘇州,是一家致力于開發(fā)與創(chuàng)新新技術(shù),為客戶提供可靠的,小型化,高性能和高性價比的半導(dǎo)體封裝量產(chǎn)服務(wù)商。
晶方科技的CMOS影像傳感器晶圓級封裝技術(shù),徹底改變了封裝的世界,使高性能,小型化的手機(jī)相機(jī)模塊成為可能。這一價值已經(jīng)使之成為有史以來應(yīng)用最廣泛的封裝技術(shù),現(xiàn)今已有近50%的影像傳感器芯片可使用此技術(shù),大量應(yīng)用于智能電話,平板電腦,可穿戴電子等各類電子產(chǎn)品。
中芯長電(SJ Semi):中芯長電半導(dǎo)體(江陰)有限公司是中國大陸技術(shù)先進(jìn)、規(guī)模大的集成電路芯片制造企業(yè):中芯國際集成電路制造有限公司,與大陸規(guī)模大的后段封裝測試企業(yè):江蘇長電科技股份有限公司合資組建的,致力于在中國發(fā)展世界領(lǐng)先的硅片級封裝測試業(yè)務(wù)的外商獨資企業(yè),首期重點發(fā)展先進(jìn)的12英寸凸塊加工(bumping)及配套晶圓芯片測試(cp testing)業(yè)務(wù)。
深科技(Kaifa):深圳長城開發(fā)科技股份有限公司成立于1985年, 致力于提供計算機(jī)與存儲、通訊與消費電子、半導(dǎo)體、醫(yī)療器械、汽車電子、商業(yè)與工業(yè)產(chǎn)品的制造服務(wù)和自動化設(shè)備、計量系統(tǒng)及物聯(lián)網(wǎng)系統(tǒng)的研發(fā)生產(chǎn)服務(wù)。深圳彩田園區(qū)是深圳政府授予的特色存儲產(chǎn)業(yè)園,是中國先進(jìn)的通訊電子產(chǎn)品制造企業(yè)之一,為全球多家一線品牌提供技術(shù)制造服務(wù),年產(chǎn)智能手機(jī)5000萬臺;是中國知名的智能電表及控制系統(tǒng)出口企業(yè),累積出口高端智能電表到歐洲、南亞和東南亞等地4400萬臺;是中國知名的半導(dǎo)體存儲模組制造企業(yè),年產(chǎn)3000萬片;是中國先進(jìn)的DRAM/flash封裝測試企業(yè),月產(chǎn)能4500萬顆。
佰維存儲(Biwin):佰維專注為客戶提供優(yōu)質(zhì)的存儲產(chǎn)品,致力于成為行業(yè)一流的存儲解決方案提供商。佰維專注存儲領(lǐng)域24載,造就了佰維穩(wěn)健的上游資源整合能力、業(yè)內(nèi)領(lǐng)先的存儲算法及固件開發(fā)能力、優(yōu)異的硬件設(shè)計能力、強(qiáng)大的測試能力和以SiP為核心的先進(jìn)封裝制造能力這5大優(yōu)勢??蔀榭蛻籼峁〆MMC、eMCP、UFS、LPDDR、ePOP、SPI NAND、uMCP、BGA SSD以及2.5”、U.2、M.2、DOM、AIC PCIe、特種SSD、移動SSD、內(nèi)存模組等全系列存儲產(chǎn)品,并針對客戶多元化的存儲需求,提供具備高可靠性、高性能、小尺寸、斷電保護(hù)、加密支持、寫入保護(hù)、寬溫運行、安全刪除等特點的產(chǎn)品。
美國:
艾克爾科技(Amkor):Amkor是全球最大的半導(dǎo)體封裝和測試服務(wù)供貨商,占全球半導(dǎo)體市場的30%份額,在全球多個國家設(shè)有工廠。
Amkor主要服務(wù)于集成器件制造商、半導(dǎo)體公司、合同代工廠、通訊、消費電子、網(wǎng)絡(luò)、計算、汽車和工業(yè)市場,在半導(dǎo)體封裝和測試技術(shù)方面居世界領(lǐng)先地位,除了承接半導(dǎo)體芯片測試業(yè)務(wù)外還銷售芯片制造服務(wù),顧客包括計劃全部知名的半導(dǎo)體公司,如:拓朗半導(dǎo)體、安華高科技、Pte、飛思卡爾、英特爾、索尼半導(dǎo)體公司以及東芝公司等。
高新星科技(R&D Altanova):美國高新星科技股份有限公司成立于1969年,是美國領(lǐng)先的集成電路自動測試設(shè)備供應(yīng)商,致力于為客戶提供先進(jìn)的半導(dǎo)體自動化設(shè)備和系統(tǒng)測試界面板解決方案。產(chǎn)品主要為半導(dǎo)體測試板卡及電子系統(tǒng)測試的界面板平臺。
中國臺灣:
日月光集團(tuán)(ASE):日月光集團(tuán)為全球第一大半導(dǎo)體制造服務(wù)公司之一,長期提供全球客戶最佳的服務(wù)與最先進(jìn)的技術(shù)。自1984年設(shè)立至今,專注于提供半導(dǎo)體客戶完整之封裝及測試服務(wù),包括晶片前段測試及晶圓針測至后段之封裝、材料及成品測試的一元化服務(wù)??蛻粢部梢酝高^日月光集團(tuán)中的子公司環(huán)隆電氣,提供完善的電子制造服務(wù)整體解決方案。
京元電子(KYEC):京元電子股份有限公司成立于1987年5月,目前在全球半導(dǎo)體產(chǎn)業(yè)上下游設(shè)計、制造、封裝、測試產(chǎn)業(yè)分工的型態(tài)中,已成為最大的專業(yè)測試公司??偣咀湓谛轮袷泄牢迓放?,生產(chǎn)基地則位於苗栗縣竹南鎮(zhèn)。京元電子公司的工廠占地約20,000坪,廠房樓地板面積約92,000坪,無塵室面積則達(dá)56,000馀坪。晶圓針測量每月產(chǎn)能40萬片,IC成品測試量每月產(chǎn)能可達(dá)4億顆。
矽品科技(SPIL):臺灣矽品精密工業(yè)股份有限公司成立于1984年5月,主要營業(yè)項目為從事各項集成電路封裝之制造、加工、買賣及測試等相關(guān)業(yè)務(wù),是全球IC封裝測試行業(yè)的知名企業(yè)。矽品本身為全球前四大專業(yè)封裝測試代工服務(wù)業(yè)者,現(xiàn)屬日月光投資控股公司成員,成為全球第一大專業(yè)封裝測試代工服務(wù)業(yè)者。
力成科技(Powertech):Powertech Technology Inc.(PTI)成立于1997年,是全球領(lǐng)先的IC后端服務(wù)提供商。服務(wù)范圍包括IC芯片探測,封裝,最終測試以及預(yù)燒至最終產(chǎn)品,并直接交付給全球最終客戶。PTI在全球擁有15,000多名員工,在新竹,臺灣淳安,蘇州,中國西安,新加坡和日本擁有世界一流的制造工廠。
南茂科技(ChipMOS):南茂科技主要業(yè)務(wù)為提供高密度、高層次之記憶體產(chǎn)品,邏輯產(chǎn)品與混合信號產(chǎn)品之封裝、測試及相關(guān)之後段加工、配貨服務(wù)。經(jīng)由南茂提供的整體性機(jī)體電路封裝、測試後,客戶的產(chǎn)品即能順利地應(yīng)用在資訊、通訊、辦公室自動化以及消費性電子等相關(guān)產(chǎn)業(yè)之商品上。
頎邦科技(Chipbond):頎邦科技為擁有覆晶封裝技術(shù)與晶片尺寸封裝此二類先進(jìn)技術(shù)之專業(yè)封裝廠商,其產(chǎn)品線的規(guī)劃可完全滿足未來封裝的主流需求。營業(yè)項目為晶圓上金凸塊及錫鉛塊之代工服務(wù),乃先進(jìn)封裝如:Flip Chip BGA、TAB所必須之過程。其中金凸塊及TAB組裝為LCD模組所必要,2013年國內(nèi)投入仟億以上資金發(fā)展TFT-LCD(薄膜液晶顯示器模組)相關(guān)周之零配件產(chǎn)業(yè),也需求強(qiáng)勁。頎邦科技是國內(nèi)唯一有能力完成LCD之驅(qū)動之IC全程封裝測試之公司。2014年正處於快速成長的階段,估計往后10年內(nèi),臺灣仍是全世界LCD主要供應(yīng)地區(qū)及使用地區(qū),前景看好。國內(nèi)擁有半導(dǎo)體制造、應(yīng)用最完整之體系,從IC設(shè)計、晶圓制造、封裝、測試、產(chǎn)品組裝等,不論是自行販賣或代工生產(chǎn),在數(shù)量上都占世界舉足地位。
Kingpak:成立于1997年11月,是臺灣最大的集成電路封裝供應(yīng)商之一。利用TinyBGA核心封裝技術(shù),實現(xiàn)良好的散熱、低能耗、小面積、高容量、高效率的內(nèi)存封裝應(yīng)用。隨后,引領(lǐng)業(yè)內(nèi)同行率先采用了世界上第一項PIP包裝專利技術(shù),成為內(nèi)存和存儲卡包裝市場不可或缺的參與者。
Kingpak于1999年進(jìn)入小眾CIS (CMOS圖像傳感器)微包裝領(lǐng)域,主要從事消費品、可穿戴產(chǎn)品、安全監(jiān)控及汽車相關(guān)的CIS包裝以及視頻測試服務(wù)。憑借多年來積累的強(qiáng)大研發(fā)能力、專業(yè)的研發(fā)和制造人才、ISO9001\ISO14001認(rèn)證,以及與全球客戶的合作,公司在自主微細(xì)包裝技術(shù)方面有著雄厚的基礎(chǔ),成為全球汽車相關(guān)包裝領(lǐng)域的領(lǐng)軍企業(yè)。
韓國:
LB Semicon:LB Semicon成立于2000年2月,是韓國第一家在倒裝晶片凸點領(lǐng)域開展業(yè)務(wù)并建立了所有必要生產(chǎn)設(shè)施的公司。LB Semicon從TFT LCD和OLED顯示驅(qū)動器IC(DDI)的金凸點開始,通過不斷開發(fā)其倒裝芯片凸點,將其范圍擴(kuò)展到焊料凸點,Cu柱凸點甚至晶圓級芯片級封裝(WLCSP)技術(shù)。