當(dāng)前位置:首頁(yè) > EDA > 電子設(shè)計(jì)自動(dòng)化
[導(dǎo)讀]大家不要以為APB的master和slave很簡(jiǎn)單,不需要了解。這是大錯(cuò)特錯(cuò),為什么呢?

大家不要以為APB的master和slave很簡(jiǎn)單,不需要了解。這是大錯(cuò)特錯(cuò),為什么呢?

不過(guò)設(shè)計(jì)什么模塊,你都要讓它掛在標(biāo)準(zhǔn)總線上,比如你設(shè)計(jì)DMA,你就同時(shí)需要了解AMBA的master和slave設(shè)計(jì)。又比如你是設(shè)計(jì)算法計(jì)算模塊,你的數(shù)據(jù)肯定要放到sram,你當(dāng)然也要了解AMBA的master設(shè)計(jì),將數(shù)據(jù)傳輸?shù)絚rossbar上,進(jìn)而放到指定memory。又比如SOC設(shè)計(jì),肯定需要各種bridge,假設(shè)一個(gè)AHB2APB,你就同時(shí)需要了解AHB slave和APB master。

以APB為例,還是因?yàn)锳PB簡(jiǎn)單,但是我們可以從它學(xué)到設(shè)計(jì)的方法和思路。

既然是設(shè)計(jì)就需要spec和狀態(tài)機(jī)。

設(shè)計(jì)spec如下

1、模塊規(guī)劃

模塊diagram

2、接口描述

接口描述

3、時(shí)序描述

讀時(shí)序

讀時(shí)序

寫時(shí)序

寫時(shí)序

4、FSM

就是之前講的APB協(xié)議狀態(tài)機(jī)。如下圖

APB FSM

模塊規(guī)劃有了,接口有了,時(shí)序有了,狀態(tài)機(jī)有了,就可以開始設(shè)計(jì)coding了,代碼如下:

module apb#( parameter RD_FLAG = 8'b0 , parameter WR_FLAG = 8'b1 , parameter CMD_RW_WIDTH = 8 , parameter CMD_ADDR_WIDTH = 16 , parameter CMD_DATA_WIDTH = 32 , parameter CMD_WIDTH = CMD_RW_WIDTH + CMD_ADDR_WIDTH + CMD_DATA_WIDTH)(//-- clkrst signal input pclk_i , input prst_n_i ,

//-- cmd_in input [CMD_WIDTH-1:0] cmd_i , input cmd_vld_i , output reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_o,

//-- apb interface output reg [CMD_ADDR_WIDTH-1:0] paddr_o , output reg pwrite_o , output reg psel_o , output reg penable_o , output reg [CMD_DATA_WIDTH-1:0] pwdata_o , input [CMD_DATA_WIDTH-1:0] prdata_i , input pready_i , input pslverr_i);

//-- FSM stateparameter IDLE = 3'b001;parameter SETUP = 3'b010;parameter ACCESS = 3'b100;

//-- current state and next statereg [2:0] cur_state;reg [2:0] nxt_state;

//-- data bufreg start_flag ;reg [CMD_WIDTH-1:0] cmd_in_buf ;reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_buf;

/*----------------------------------------------- -- update cmd_in_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_in_buf <= {(CMD_WIDTH){1'b0}}; end else if (cmd_vld_i && pready_i) begin cmd_in_buf <= cmd_i; endend

/*----------------------------------------------- -- start flag of transfer -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin start_flag <= 1'b0; end else if (cmd_vld_i && pready_i) begin start_flag <= 1'b1; end else begin start_flag <= 1'b0; endend

/*----------------------------------------------- -- update current state -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cur_state <= IDLE; end else begin cur_state <= nxt_state; endend

/*----------------------------------------------- -- update next state -------------------------------------------------*/always @ (*) begin case(cur_state) IDLE :if(start_flag)begin nxt_state = SETUP; end else begin nxt_state = IDLE; end

SETUP :nxt_state = ACCESS; ACCESS:if (!pready_i)begin nxt_state = ACCESS; end else if(start_flag)begin nxt_state = SETUP; end else if(!cmd_vld_i && pready_i)begin nxt_state = IDLE; end endcaseend

/*----------------------------------------------- -- update signal of output -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin pwrite_o <= 1'b0; psel_o <= 1'b0; penable_o <= 1'b0; paddr_o <= {(CMD_ADDR_WIDTH){1'b0}}; pwdata_o <= {(CMD_DATA_WIDTH){1'b0}}; end else if (nxt_state == IDLE) begin psel_o <= 1'b0; penable_o <= 1'b0; end

else if(nxt_state == SETUP)begin psel_o <= 1'b1; penable_o <= 1'b0; paddr_o <= cmd_in_buf[CMD_WIDTH-CMD_RW_WIDTH-1:CMD_DATA_WIDTH]; //-- read if(cmd_in_buf[CMD_WIDTH-1:CMD_WIDTH-8] == RD_FLAG)begin pwrite_o <= 1'b0; end //-- write else begin pwrite_o <= 1'b1; pwdata_o <= cmd_in_buf[CMD_DATA_WIDTH-1:0]; end end

else if(nxt_state == ACCESS)begin penable_o <= 1'b1; endend

/*----------------------------------------------- -- update cmd_rd_data_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_buf <= {(CMD_DATA_WIDTH){1'b0}}; end else if (pready_i && psel_o && penable_o) begin cmd_rd_data_buf <= prdata_i; endend

/*----------------------------------------------- -- update cmd_rd_data_o -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_o <= {(CMD_DATA_WIDTH){1'b0}}; end else begin cmd_rd_data_o <= cmd_rd_data_buf; endend

endmodule

模塊設(shè)計(jì)的比較簡(jiǎn)單,只是實(shí)現(xiàn)APB的基本功能。下面講一下設(shè)計(jì)重點(diǎn):

·一定要做好功課在開始coding。

·Flow control,APB的上級(jí)模塊,需要給到流控信號(hào),告知APB master什么時(shí)候開始傳輸,什么時(shí)候結(jié)束。

·FSM,必須完全遵循AMBA的datasheet。

·時(shí)序?qū)R,和FSM一樣,接口時(shí)序要和APB協(xié)議對(duì)齊。

·重點(diǎn)中的重點(diǎn),pready的反壓一定要逐級(jí)反壓,不能直接送到APB master的上次模塊,這樣會(huì)丟數(shù)據(jù)。

testbench如下

`timescale 1ns/1nsmodule tb_apb; reg pclk_i ; reg prst_n_i ; reg [55:0] cmd_i ; reg cmd_vld_i ; wire [31:0] cmd_rd_data_o; wire [15:0] paddr_o ; wire pwrite_o ; wire psel_o ; wire penable_o ; wire [31:0] pwdata_o ; reg [31:0] prdata_i ; reg pready_i ; reg pslverr_i ;

initial begin // rst; pclk_i = 0; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #20 prst_n_i = 1;

// cmd_in_wr(cmd_i,56'h01_FF_EE_DD_CC_BB_AA); cmd_i = 56'h01_FF_EE_DD_CC_BB_AA; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #31 pready_i = 0; #80 pready_i = 1;

#90; //cmd_in_rd(cmd_i,56'h00_AA_BB_CC_DD_EE_FF,prdata_i,32'h12_34_56_78); cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#60 pready_i = 1; prdata_i = 32'h12_34_56_78;

cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#50 pready_i = 1; prdata_i = 32'h11_22_33_44;

end

always #10 pclk_i = ~pclk_i;

//-- RSTtask rst; begin pclk_i = 1; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #10 prst_n_i = 1; //cmd_i = 56'h01_FF_EE_DD_CC_BB_Ab; endendtask

//-- writetask cmd_in_wr; output [55:0] cmd; input [55:0] data;

begin cmd = data; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; endendtask

//-- readtask cmd_in_rd; output [55:0] cmd; input [55:0] data ; output [31:0] prdata; input [31:0] rd_data;

begin cmd = data; cmd_vld_i = 1; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; prdata = rd_data; endendtaskinitial begin #1000 $finish;endapb tb_apb( .pclk_i (pclk_i ), .prst_n_i (prst_n_i ), .cmd_i (cmd_i ), .cmd_vld_i (cmd_vld_i ), .cmd_rd_data_o(cmd_rd_data_o), .paddr_o (paddr_o ), .pwrite_o (pwrite_o ), .psel_o (psel_o ), .penable_o (penable_o ), .pwdata_o (pwdata_o ), .prdata_i (prdata_i ), .pready_i (pready_i ), .pslverr_i (pslverr_i ) );

initial begin $fsdbDumpfile("apb.fsdb"); $fsdbDumpvars ; $fsdbDumpMDA ;end

endmodule

makefile如下:

LAB_DIR = /home/*/apb

DFILES = $(LAB_DIR)/*.v

all:clean elab rungelab: vcs -full64 -LDFLAGS -Wl,-no-as-needed -debug_acc+all -timescale=1ns/1ns \ -fsdb -sverilog -l comp.log \ ${DFILES}

run: ./simv -l run.log

rung: ./simv -gui -l run.log

verdi: verdi ${DFILES} \ -ssf ./*.fsdb &

clean: rm -rf AN.DB \ rm -rf DVEfiles \ rm -rf csrc \ rm -rf simv.* \ rm -rf *simv \ rm -rf inter.vpd \ rm -rf ucli.key \ rm -rf *.log \ rm -rf verdiLog \ rm -rf novas* \ rm -rf *.fsdb

下面是仿真結(jié)果

SoC設(shè)計(jì)入門 - APB master設(shè)計(jì)(接口類基礎(chǔ)思維)

好了,今天講的主要就這么多,這個(gè)是基礎(chǔ),但也是干貨,對(duì)以后設(shè)計(jì)AHB,AXI乃至NOC都非常有幫助。

本站聲明: 本文章由作者或相關(guān)機(jī)構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點(diǎn),本站亦不保證或承諾內(nèi)容真實(shí)性等。需要轉(zhuǎn)載請(qǐng)聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請(qǐng)及時(shí)聯(lián)系本站刪除。
換一批
延伸閱讀

9月2日消息,不造車的華為或?qū)⒋呱龈蟮莫?dú)角獸公司,隨著阿維塔和賽力斯的入局,華為引望愈發(fā)顯得引人矚目。

關(guān)鍵字: 阿維塔 塞力斯 華為

倫敦2024年8月29日 /美通社/ -- 英國(guó)汽車技術(shù)公司SODA.Auto推出其旗艦產(chǎn)品SODA V,這是全球首款涵蓋汽車工程師從創(chuàng)意到認(rèn)證的所有需求的工具,可用于創(chuàng)建軟件定義汽車。 SODA V工具的開發(fā)耗時(shí)1.5...

關(guān)鍵字: 汽車 人工智能 智能驅(qū)動(dòng) BSP

北京2024年8月28日 /美通社/ -- 越來(lái)越多用戶希望企業(yè)業(yè)務(wù)能7×24不間斷運(yùn)行,同時(shí)企業(yè)卻面臨越來(lái)越多業(yè)務(wù)中斷的風(fēng)險(xiǎn),如企業(yè)系統(tǒng)復(fù)雜性的增加,頻繁的功能更新和發(fā)布等。如何確保業(yè)務(wù)連續(xù)性,提升韌性,成...

關(guān)鍵字: 亞馬遜 解密 控制平面 BSP

8月30日消息,據(jù)媒體報(bào)道,騰訊和網(wǎng)易近期正在縮減他們對(duì)日本游戲市場(chǎng)的投資。

關(guān)鍵字: 騰訊 編碼器 CPU

8月28日消息,今天上午,2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)開幕式在貴陽(yáng)舉行,華為董事、質(zhì)量流程IT總裁陶景文發(fā)表了演講。

關(guān)鍵字: 華為 12nm EDA 半導(dǎo)體

8月28日消息,在2024中國(guó)國(guó)際大數(shù)據(jù)產(chǎn)業(yè)博覽會(huì)上,華為常務(wù)董事、華為云CEO張平安發(fā)表演講稱,數(shù)字世界的話語(yǔ)權(quán)最終是由生態(tài)的繁榮決定的。

關(guān)鍵字: 華為 12nm 手機(jī) 衛(wèi)星通信

要點(diǎn): 有效應(yīng)對(duì)環(huán)境變化,經(jīng)營(yíng)業(yè)績(jī)穩(wěn)中有升 落實(shí)提質(zhì)增效舉措,毛利潤(rùn)率延續(xù)升勢(shì) 戰(zhàn)略布局成效顯著,戰(zhàn)新業(yè)務(wù)引領(lǐng)增長(zhǎng) 以科技創(chuàng)新為引領(lǐng),提升企業(yè)核心競(jìng)爭(zhēng)力 堅(jiān)持高質(zhì)量發(fā)展策略,塑強(qiáng)核心競(jìng)爭(zhēng)優(yōu)勢(shì)...

關(guān)鍵字: 通信 BSP 電信運(yùn)營(yíng)商 數(shù)字經(jīng)濟(jì)

北京2024年8月27日 /美通社/ -- 8月21日,由中央廣播電視總臺(tái)與中國(guó)電影電視技術(shù)學(xué)會(huì)聯(lián)合牽頭組建的NVI技術(shù)創(chuàng)新聯(lián)盟在BIRTV2024超高清全產(chǎn)業(yè)鏈發(fā)展研討會(huì)上宣布正式成立。 活動(dòng)現(xiàn)場(chǎng) NVI技術(shù)創(chuàng)新聯(lián)...

關(guān)鍵字: VI 傳輸協(xié)議 音頻 BSP

北京2024年8月27日 /美通社/ -- 在8月23日舉辦的2024年長(zhǎng)三角生態(tài)綠色一體化發(fā)展示范區(qū)聯(lián)合招商會(huì)上,軟通動(dòng)力信息技術(shù)(集團(tuán))股份有限公司(以下簡(jiǎn)稱"軟通動(dòng)力")與長(zhǎng)三角投資(上海)有限...

關(guān)鍵字: BSP 信息技術(shù)
關(guān)閉